Skip to main content
Department of Information Technology

Publications 2023

  1. Service-based Federated Deep Reinforcement Learning for Anomaly Detection in Fog Ecosystems. Mays AL-Naday, Martin Reed, Vlad Dobre, Salman Toor, Bruno Volckaert, and Filip De Turck. In 2023 26th Conference on Innovation in Clouds, Internet and Networks and Workshops (ICIN), International Conference on Intelligence in Next Generation Networks, pp 121-128, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  2. Combining rule- and SMT-based reasoning for verifying floating-point Java programs in KeY. Rosa Abbasi, Jonas Schiffl, Eva Darulova, Mattias Ulbrich, and Wolfgang Ahrendt. In International Journal on Software Tools for Technology Transfer, volume 25, pp 185-204, Springer, 2023. (DOI, Fulltext, fulltext:print).
  3. Modular Optimization-Based Roundoff Error Analysis of Floating-Point Programs. Rosa Abbasi and Eva Darulova. In Static Analysis: 30th International Symposium, SAS 2023, 2023.
  4. Continuous Time-Delay Estimation From Sampled Measurements. Mohamed Abdalmoaty and Alexander Medvedev. In IFAC-PapersOnLine, volume 56, number 2, pp 6982-6987, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  5. Privacy and Security in Network Controlled Systems via Dynamic Masking. Mohamed Abdalmoaty, Sribalaji Coimbatore Anand, and André Teixeira. In IFAC-PapersOnLine, volume 56, number 2, pp 991-996, Elsevier, 2023. (DOI).
  6. Optimal Stateless Model Checking for Causal Consistency. Parosh Abdulla, Mohamed Faouzi Atig, S. Krishna, Ashutosh Gupta, and Omkar Tuppe. In Tools and Algorithms for the Construction and Analysis of Systems: 29th International Conference, TACAS 2023, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2023, Paris, France, April 22–27, 2023, Proceedings, Part I, volume 13993 of Lecture Notes in Computer Science, pp 105-125, Springer, 2023. (DOI, Fulltext, fulltext:print).
  7. Overcoming Memory Weakness with Unified Fairness: Systematic Verification of Liveness in Weak Memory Models. Parosh Abdulla, Mohamed Faouzi Atig, Adwait Godbole, Shankaranarayanan Krishna, and Mihir Vahanwala. In Computer Aided Verification - 35th International Conference, {CAV} 2023, Paris, France, July 17-22, 2023, Proceedings, Part {I}}, volume 13964 of Lecture Notes in Computer Science, pp 184-205, Springer Nature, 2023. (DOI, Fulltext).
  8. Tailoring Stateless Model Checking for Event-Driven Multi-Threaded Programs. Parosh Abdulla, Mohamed Faouzi Atig, Frederik Meyer Bonneland, Sarbojit Das, Bengt Jonsson, Magnus Lång, and Konstantinos Sagonas. In Automated Technology for Verification and Analysis, 21st International Symposium, ATVA 2023, Singapore, Oct. 2023. Proceedings., 2023.
  9. Parameterized Verification under TSO with Data Types. Parosh Aziz Abdulla, Mohamed Faouzi Atig, Florian Furbach, Adwait A. Godbole, Yacoub G. Hendi, Shankara N. Krishna, and Stephan Spengler. In Tools and Algorithms for the Construction and Analysis of Systems: 29th International Conference, TACAS 2023, Held as Part of the European Joint Conferences on Theory and Practice of Software, ETAPS 2023, Paris, France, April 22–27, 2023, Proceedings, Part I, volume 13993 of Lecture Notes in Computer Science, pp 588-606, Springer, 2023. (DOI, Fulltext, fulltext:print).
  10. AN ELLIPTIC LOCAL PROBLEM WITH EXPONENTIAL DECAY OF THE RESONANCE ERROR FOR NUMERICAL HOMOGENIZATION. Assyr Abdulle, Doghonay Arjmand, and Edoardo Paganoni. In Multiscale Modeling & simulation, volume 21, number 2, pp 513-541, Society for Industrial & Applied Mathematics (SIAM), 2023. (DOI).
  11. Generalized Locally Toeplitz matrix-sequences and approximated PDEs on submanifolds: the flat case. Andrea Adriani, Matteo Semplice, and Stefano Serra. In Linear and multilinear algebra, pp 1-23, Taylor & Francis, 2023. (DOI, External link).
  12. Rethinking Tractability for Schedulability Analysis. Kunal Agrawal, Sanjoy Baruah, and Pontus Ekberg. In <em>Proceedings of the 44th IEEE Real-Time Systems Symposium (RTSS)</em>, pp 1-12, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  13. Positive Design Framework for Carer-eSupport: A Qualitative Study to Support Informal Caregivers of Patients with Head and Neck Cancer in Sweden. Awais Ahmad, Shweta Premanandan, Ulrica Langegård, Åsa Cajander, Birgitta Johansson, Maria E. Carlsson, and Ylva Tiblom Ehrsson. In JMIR Cancer, volume 9, JMIR Publications, 2023. (DOI, Fulltext, Preprint of article, fulltext:print).
  14. Automatic segmentation of large-scale CT image datasets for detailed body composition analysis.. Nouman Ahmad, Robin Strand, Björn Sparresäter, Sambit Tarai, Elin Lundström, Göran Bergström, Håkan Ahlström, and Joel Kullberg. In BMC Bioinformatics, volume 24, number 1, BioMed Central (BMC), 2023. (DOI, Fulltext, fulltext:print).
  15. ReCon: Efficient Detection, Management, and Use of Non-Speculative Information Leakage. Pavlos Aimoniotis, Amund Bergland Kvalsvik, Xiaoyue Chen, Magnus Själander, and Stefanos Kaxiras. In 56th IEEE/ACM International Symposium on Microarchitecture, MICRO 2023, pp 828-842, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  16. Recognizing seizure using Poincaré plot of EEG signals and graphical features in DWT domain. Hesam Akbari, Muhammad Tariq Sadiq, Nastaran Jafari, Jingwei Too, Nasser Mikaeilvand, Antonio Cicone, and Stefano Serra-Capizzano. In Bratislava Medical Journal, volume 124, number 1, pp 12-24, AEPress, 2023. (DOI).
  17. Behavioural Observations as Objective Measures of Trust in Child-Robot Interaction: Mutual Gaze. Anastasia Akkuzu, Ginevra Castellano, and Natalia Calvo-Barajas. In HAI '23: Proceedings of the 11th International Conference on Human-Agent Interaction, pp 452-454, Association for Computing Machinery (ACM), 2023. (DOI).
  18. Selection of human evaluators for design smell detection using dragonfly optimization algorithm: An empirical study. Sultan M. Al Khatib, Khalid Alkharabsheh, and Sadi Alawadi. In Information and Software Technology, volume 155, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  19. Towards a Framework of Human-Robot Interaction Strategies from an Operator 5.0 Perspective. Beatrice Alenljung, Jessica Lindblom, Maximiliano Zaragoza-Sundqvist, and Atieh Hanna. In Advances in Manufacturing Technology XXXVI, volume 44 of Advances in Transdisciplinary Engineering, pp 81-86, IOS Press, 2023. (DOI, Fulltext, fulltext:print).
  20. Automatic Program Instrumentation for Automatic Verification. Jesper Amilon, Zafer Esen, Dilian Gurov, Christian Lidström, and Philipp Rümmer. In CAV 2023: Computer Aided Verification, volume 13966 of Lecture Notes in Computer Science, pp 281-304, Springer Nature, 2023. (DOI, Fulltext).
  21. NTIRE 2023 HR NonHomogeneous Dehazing Challenge Report. Codruta O. Ancuti, Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, Jens Sjölund, Thomas B. Schön, and Christoph Busch. In 2023 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), Institute of Electrical and Electronics Engineers (IEEE), Vancover, 2023. (DOI).
  22. Cell Segmentation of <em>in situ </em>Transcriptomics Data using Signed Graph Partitioning. Axel Andersson, Andrea Behanova, Carolina Wählby, and Filip Malmberg. In Graph-Based Representations in Pattern Recognition, volume 14121 of Lecture Notes in Computer Science (LNCS), pp 139-148, Springer, Cham, 2023. (DOI).
  23. Exploring the Past, Present and Future of Computing Education Research: An Introduction. Mikko Apiola, Sonsoles Lopez-Pernas, Mohammed Saqr, Lauri Malmi, and Mats Daniels. In Past, Present and Future of Computing Education Research, pp 1-7, Springer Nature, 2023. (DOI).
  24. Reference Capabilities for Flexible Memory Management. Ellen Arvidsson, Elias Castegren, Sylvan Clebsch, Sophia Drossopoulou, James Noble, Matthew J. Parkinson, and Tobias Wrigstad. In Proceedings of the ACM on Programming Languages, volume 7, number OOPSLA2, pp 1363-1393, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  25. Care Robots and Humanity: How Can We Cope with The Indeterminacy and Ambiguity of Robot-Human Relationships?. Ryoko Asai, Makoto Nakada, and Iordanis Kavathatzopoulos. In Tethics 2023: Proceedings of the Conference on Technology Ethics 2023, volume Vol-3582 of CEUR Workshop Proceedings, pp 1-10, CEUR-WS.org, 2023. (Proceedings, External link).
  26. Rooftop segmentation and optimization of photovoltaic panel layouts in digital surface models. Mohammad Aslani and Stefan Seipel. In Computers, Environment and Urban Systems, volume 105, Elsevier, 2023. (DOI, fulltext:print).
  27. Solar Energy Assessment: From Rooftop Extraction to Identifying Utilizable Areas. Mohammad Aslani and Stefan Seipel. In Geographical Information Systems Theory, Applications and Management: (GISTAM 2021, GISTAM 2022), Communications in Computer and Information Science, pp 102-115, Springer, 2023. (DOI).
  28. Achieving improved accuracy for imputation of ancient DNA. Kristiina Ausmees and Carl Nettelblad. In Bioinformatics, volume 39, number 1, Oxford University Press, 2023. (DOI, Fulltext, fulltext:print).
  29. Multiple-hypothesis testing rules for high-dimensional model selection and sparse-parameter estimation. Prabhu Babu and Peter Stoica. In Signal Processing, volume 213, Elsevier, 2023. (DOI).
  30. Modeling and inversion in acoustic-elastic coupled media using energy-stable summation-by-parts operators. Milad Bader, Martin Almquist, and Eric M. Dunham. In Geophysics, volume 88, number 3, pp T137-T150, Society of Exploration Geophysicists, 2023. (DOI).
  31. Deep Active Learning for Glioblastoma Quantification. Subhashis Banerjee and Robin Strand. In Scandinavian Conference on Image Analysis, pp 190-200, 2023.
  32. Deep Curriculum Learning for Follow-up MRI Registration in Glioblastoma. Subhashis Banerjee, Dimitrios Toumpanakis, Ashis Dhara, Johan Wikström, and Robin Strand. In Medical Imaging 2023: Image Processing, Progress in Biomedical Optics and Imaging, SPIE -Society of Photo-Optical Instrumentation Engineers, 2023. (DOI).
  33. Lifelong Learning with Dynamic Convolutions for Glioma: Segmentation from Multi-Modal MRI. Subhashis Banerjee and Robin Strand. In Medical Imaging 2023: Image Processing, Progress in Biomedical Optics and Imaging, SPIE - The International Society for Optics and Photonics, 2023.
  34. Lifelong Learning with Dynamic Convolutions for Glioma Segmentation from Multi-Modal MRI. Subhashis Banerjee and Robin Strand. In Medical imaging 2023, Progress in Biomedical Optics and Imaging, SPIE - International Society for Optical Engineering, 2023. (DOI, Fulltext, fulltext:print).
  35. Efficient Explainability of Real-Time Schedulability. Sanjoy Baruah and Pontus Ekberg. In , 2023.
  36. Towards Efficient Explainability of Schedulability Properties in Real-Time Systems. Sanjoy Baruah and Pontus Ekberg. In <em>Proceedings of the 35th Euromicro Conference on Real-Time Systems (ECRTS)</em>, 2023. (DOI, Fulltext).
  37. Who’s Afraid of Butterflies? A Close Examination of the Butterfly Attack. Sanjoy Baruah, Pontus Ekberg, Mehdi Hosseinzadeh, Ao Li, Bryan Ward, and Ning Zhang. In <em>Proceedings of the 44th IEEE Real-Time Systems Symposium (RTSS)</em>, 2023.
  38. A computationally lightweight safe learning algorithm. Dominik Baumann, Krzysztof Kowalczyk, Koen Tiels, and Pawe L. Wachel. In 2023 62nd IEEE Conference on Decision and Control, (CDC), IEEE Conference on Decision and Control, pp 1022-1027, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  39. On the trade-off between event-based and periodic state estimation under bandwidth constraints. Dominik Baumann and Thomas B. Schön. In IFAC-PapersOnLine, volume 56, number 2, pp 5275-5280, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  40. Visualization and quality control tools for large-scale multiplex tissue analysis in TissUUmaps3. Andrea Behá?ová, Christophe Avenel, Axel Andersson, Eduard Chelebian, Anna Klemm, Lina Wik, Arne Östman, and Carolina Wählby. In Biological Imaging, volume 3, 2023. (DOI).
  41. Analytical Estimation of Beamforming Speed-of-Sound Using Transmission Geometry. Can Deniz Bezek and Orcun Göksel. In Ultrasonics, volume 134, Elsevier, 2023. (DOI, Fulltext, Pre-print in arXiv, fulltext:print).
  42. Model-based Deep Learning of Ultrasound Beamforming. Can Deniz Bezek, Lingkai Zhu, and Orcun Göksel. In , 2023.
  43. Pulse-Echo Speed-of-Sound as Imaging Biomarker for Breast Density: Virtual Source Acquisitions for In-Vivo Application. Can Deniz Bezek and Orcun Göksel. In , 2023.
  44. Sound-Speed Reconstruction with Learned Kernels Based on a Convolutional Formulation of Sound-Speed and Speckle-Shift Relation. Can Deniz Bezek and Orcun Göksel. In , 2023.
  45. Speed-of-sound as a Novel Ultrasound Imaging Biomarker for Breast Cancer and Density. Can Deniz Bezek, Dieter Schweizer, Rahel A. Kubik-Huch, and Orcun Göksel. In , 2023.
  46. A note on the spectral analysis of matrix sequences via GLT momentary symbols: from all-at-once solution of parabolic problems to distributed fractional order matrices. Matthias Bolten, Sven-Erik Ekström, Isabella Furci, and Stefano Serra-Capizzano. In Electronic Transactions on Numerical Analysis, volume 58, pp 136-163, Osterreichische Akademie der Wissenschaften, Verlag, 2023. (DOI, Fulltext, fulltext:print).
  47. Waveform Inversion with a Data Driven Estimate of the Internal Wave. Liliana Borcea, Josselin Garnier, Alexander V. Mamonov, and Jörn Zimmerling. In SIAM Journal on Imaging Sciences, volume 16, number 1, pp 280-312, Society for Industrial and Applied Mathematics, 2023. (DOI).
  48. Waveform inversion via reduced order modeling. Liliana Borcea, Josselin Garnier, Alexander V. Mamonov, and Jörn Zimmerling. In Geophysics, volume 88, number 2, pp R175-R191, Society of Exploration Geophysicists, 2023. (DOI).
  49. Faster FunctionalWarming with Cache Merging. Gustaf Borgström, Christian Rohner, and David Black-Schaffer. In PROCEEDINGS OF SYSTEM ENGINEERING FOR CONSTRAINED EMBEDDED SYSTEMS, DRONESE AND RAPIDO 2023, pp 39-47, Association for Computing Machinery (ACM), 2023. (DOI).
  50. A Methodology for Investigating Women's Module Choices in Computer Science. Steven Bradley, Miranda C. Parker, Rukiye Altin, Lecia Barker, Sara Hooshangi, Samia Kamal, Thom Kunkeler, Ruth G. Lennon, Fiona McNeill, Julià Minguillón, Jack Parkinson, Svetlana Peltsverger, and Naaz Sibia. In ITiCSE 2023: Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education, pp 569-570, Association for Computing Machinery (ACM), 2023. (DOI).
  51. Modeling Women's Elective Choices in Computing. Steven Bradley, Miranda C. Parker, Rukiye Altin, Lecia Barker, Sara Hooshangi, Thom Kunkeler, Ruth G. Lennon, Fiona McNeill, Julià Minguillón, Jack Parkinson, Svetlana Peltsverger, and Naaz Sibia. In ITiCSE-WGR '23: Proceedings of the 2023 Working Group Reports on Innovation and Technology in Computer Science Education, pp 196-226, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  52. Association Between Electrocardiographic Age and Cardiovascular Events in Community Settings: The Framingham Heart Study. Luisa C. C. Brant, Antônio Horta Ribeiro, Marcelo M. Pinto-Filho, Jelena Kornej, Sarah R. Preis, Jessica L. Fetterman, Oseiwe B. Eromosele, Jared W. Magnani, Joanne M. Murabito, Martin G. Larson, Emelia J. Benjamin, Antonio L. P. Ribeiro, and Honghuang Lin. In Circulation. Cardiovascular Quality and Outcomes, volume 16, number 7, Wolters Kluwer, 2023. (DOI).
  53. Difficulties and Recommendations for AI-Based Prediction of Prostate Cancer Aggressiveness in Digital Pathology. Michael Brehler, Peter Walhagen, Christer Busch, Stefan Bonn, and Ewert Bengtsson. In Medical Research Archives, volume 11, number 11, Knowledge Enterprise Journals, 2023. (DOI, Fulltext, fulltext:print).
  54. Image Processing and Analysis Methods for Biomedical Applications. Eva Breznik. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2253, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  55. Introducing Spatial Context in Patch-Based Deep Learning for Semantic Segmentation in Whole Body MRI. Eva Breznik, Joel Kullberg, Håkan Ahlström, and Robin Strand. In Scandinavian Conference on Image Analysis, pp 214-228, 2023.
  56. Introducing spatial context in patch-based deep learning for semantic segmentation in whole body MRI. Eva Breznik, Joel Kullberg, Håkan Ahlström, and Robin Strand. In Proceedings of the 22nd Scandinavian conference on image analysis (SCIA), Lecture Notes in Computer Science, Springer, 2023.
  57. Continuous and discrete Volterra-Laguerre models with  delay for modeling of smooth pursuit eye movements. Viktor Bro and Alexander Medvedev. In IEEE Transactions on Biomedical Engineering, volume 70, number 1, pp 97-104, IEEE, 2023. (DOI, Fulltext, fulltext:print).
  58. Bayesian inference in epidemics: linear noise analysis. Samuel Bronstein, Stefan Engblom, and Robin Marin. In Mathematical Biosciences and Engineering, volume 20, number 2, pp 4128-4152, American Institute of Mathematical Sciences, 2023. (DOI, Fulltext, Preprint in arXiv, fulltext:print).
  59. Improved accuracy of plane-wave electromagnetic modelling by application of the total and scattered field decomposition and perfectly matched layers. Laura M. Buntin, Thomas Kalscheuer, Gunilla Kreiss, and Z. Ren. In Geophysical Journal International, volume 235, number 2, pp 1201-1217, Oxford University Press (OUP), 2023. (DOI, fulltext:print). Publication status: Epub ahead of print
  60. Errors, Omissions, and Offenses in the Health Record of Mental Health Care Patients: Results from a Nationwide Survey in Sweden. Annika Bärkås, Anna Kharko, Charlotte Blease, Åsa Cajander, Asbjørn Johansen Fagerlund, Isto Huvila, Monika Alise Johansen, Bridget Kane, Sari Kujala, Jonas Moll, Hanife Rexhepi, Isabella Scandurra, Bo Wang, and Maria Hägglund. In Journal of Medical Internet Research, volume 25, JMIR Publications, 2023. (DOI, Fulltext, fulltext:print).
  61. Variational Elliptical Processes. Maria Bånkestad, Jens Sjölund, Jalil Taghia, and Thomas B. Schön. In Transactions on Machine Learning Research, 2023. (Article in full-text, Full-text in arXiv, fulltext:print).
  62. Experiences of Extensive User Involvement through Vision Seminars in a Large IT Project. Åsa Cajander, Marta Larusdottir, Thomas Lind, and Magdalena Stadin. In Interacting with computers, volume 35, number 4, pp 543-552, Oxford University Press, 2023. (DOI).
  63. Exploring Multidimensional Trust: Shaping Child-Robot Creative Collaborations in Education. Natalia Calvo Barajas. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2258, Acta Universitatis Upsaliensis, Uppsala, 2023. (preview image, fulltext).
  64. Encore: Coda. Elias Castegren and Tobias Wrigstad. In Active Object Languages: Current Research Trends, Lecture Notes in Computer Science, Springer Nature, 2023. (DOI).
  65. Discrete-Time Fractional-Order Dynamical Networks Minimum-Energy State Estimation. Sarthak Chatterjee, Andrea Alessandretti, Antonio Pedro Aguiar, and Sergio Pequito. In IEEE Transactions on Control of Network Systems, volume 10, number 1, pp 226-237, IEEE, 2023. (DOI).
  66. Investigating the Relevance of Contextual Information Towards Improving Deep CNN Based Oral Cancer Screening on Whole Slide Cytology Samples. Swarnadip Chatterjee, Nataša Sladoje, and Joakim Lindblad. In , Swedish Symposium on Deep Learning, Kolmården, 2023.
  67. When Concurrency Matters: Behaviour-Oriented Concurrency. Luke Cheeseman, Matthew J. Parkinson, Sylvan Clebsch, Marios Kogias, Sophia Drossopoulou, David Chisnall, Tobias Wrigstad, and Paul Liétar. In Proceedings of the ACM on Programming Languages, volume 7, number OOPSLA2, pp 1531-1560, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  68. Generative appearance replay for continual unsupervised domain adaptation. Boqi Chen, Kevin Thandiackal, Pushpak Pati, and Orcun Göksel. In Medical Image Analysis, volume 89, pp 102924-102924, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  69. A GPU-accelerated real-time human voice separation framework for mobile phones. Gang Chen, Yi Zheng, Zhaoheng Zhou, Shengyu He, and Yi Wang. In Journal of systems architecture, volume 145, Elsevier, 2023. (DOI).
  70. Germline mutation rate is elevated in young and old parents in Caenorhabditis remanei. Hwei-yen Chen, Therese Krieg, Brian Mautz, Cecile Jolly, Douglas Scofield, Alexei A. Maklakov, and Simone Immler. In Evolution Letters, volume 7, number 6, pp 478-489, Oxford University Press, 2023. (DOI, Fulltext, fulltext:print).
  71. How addresses are made. Xiaoyue Chen, Pavlos Aimoniotis, and Stefanos Kaxiras. In 2023 IEEE International ymposium on Workload Characterization, IISWC, International Symposium on Workload Characterization Proceedings, pp 223-225, IEEE, 2023. (DOI).
  72. A Theory of Cartesian Arrays (with Applications in Quantum Circuit Verification). Yu-Fang Chen, Philipp Rümmer, and Wei-Lun Tsai. In AUTOMATED DEDUCTION, CADE 29, volume 14132 of Lecture Notes in Artificial Intelligence, pp 170-189, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  73. Building an Owl-Ontology for Representing, Linking and Querying SemAF Discourse Annotations. Christian Chiarcos, Purificacão Silvano, Mariana Damova, Giedre Valunaite Oleskeviciene, Chaya Liebeskind, Dimitar Trajanov, Ciprian-Octavian Truic?, Elena-Simona Apostol, and Anna Baczkowska. In Rasprave Instituta za hrvatski jezik i jezikoslovlje, volume 49, number 1, pp 117-136, Institute of Croatian Language and Linguistics, 2023. (DOI, Fulltext, fulltext:print).
  74. Probability elicitation for Bayesian networks to distinguish between intentional attacks and accidental technical failures. Sabarathinam Chockalingam, Wolter Pieters, André Teixeira, and Pieter van Gelder. In Journal of Information Security and Applications, volume 75, Elsevier, 2023. (DOI, fulltext:print).
  75. Poster: A Battery-free Backscatter Communication System for Non-persistent Carriers. Po-Hsuan Chou, Weining Song, Thiemo Voigt, Christian Rohner, and Chao Wang. In , 2023.
  76. Efficient Resource Scheduling for Distributed Infrastructures using Negotiation Capabilities. Junjie Chu, Prashant Singh, and Salman Toor. In 2023 IEEE 16th International Conference on Cloud Computing (CLOUD), IEEE International Conference on Cloud Computing, pp 486-492, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  77. Spectral and norm estimates for matrix-sequences arising from a finite difference approximation of elliptic operators. Armando Coco, Sven-Erik Ekström, Giovanni Russo, Stefano Serra-Capizzano, and Santina Chiara Stissi. In Linear Algebra and its Applications, volume 667, pp 10-43, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  78. Risk-based Security Measure Allocation Against Actuator Attacks. Sribalaji Coimbatore Anand and André Teixeira. In IEEE Open Journal of Control Systems, volume 2, pp 297-309, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI, Fulltext, fulltext:print).
  79. Lens-to-Lens Bokeh Effect Transformation: NTIRE 2023 Challenge Report. Marcus V. Conde, Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, Jens Sjölund, Thomas B. Schön, and JiXiang Niu. In 2023 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops, pp 1643-1659, Institute of Electrical and Electronics Engineers (IEEE), Vancover, 2023. (DOI, Full-text of paper).
  80. Variational system identification for nonlinear state-space models. Jarrad Courts, Adrian G. Wills, Thomas B. Schön, and Brett Ninness. In Automatica, volume 147, Elsevier, 2023. (DOI).
  81. A Case Study: The Uppsala Computing Education Research Group (UpCERG). Mats Daniels, Anders Berglund, and Arnold Pears. In Past, Present and Future of Computing Education Research, pp 245-258, Springer Nature, 2023. (DOI).
  82. What is Computing Education Research (CER)?. Mats Daniels, Lauri Malmi, Arnold Pears, and undefined Simon. In Past, Present and Future of Computing Education Research, pp 9-31, Springer Nature, 2023. (DOI).
  83. 3D Electromagnetic Modeling and Quality Control of Ultradeep Borehole Azimuthal Resistivity Measurements. Sofia Davydycheva, Carlos Torres-Verdín, Junsheng Hou, Wardana Saputra, Michael Rabinovich, Frank Antonsen, Berit Ensted Danielsen, Vladimir Druskin, and Jörn Zimmerling. In , SPWLA, 2023. (DOI).
  84. Scheduling for Multi-Cell Caching: Hit Maximization Subject to Age of Information. Tao Deng, Zhanwei Yu, and Di Yuan. In IEEE Transactions on Vehicular Technology, volume 72, number 9, pp 12441-12446, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  85. Preconditioning for block matrices with square blocks. Ivo Dravins. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2246, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  86. Preconditioning of Discrete State- and Control-Constrained Optimal Control Convection-Diffusion Problems. Ivo Dravins and Maya Neytcheva. Technical report / Department of Information Technology, Uppsala University nr 2023-001, Department of Information Technology, Uppsala University, 2023. (fulltext).
  87. Preconditioning of discrete state- and control-constrained optimal control convection-diffusion problems. Ivo Dravins and Maya Neytcheva. In Calcolo, volume 60, Springer Nature, 2023. (DOI, fulltext:print).
  88. Highlights from the 33rd European conference on cognitive ergonomics (ECCE 2022) Evaluating the Reality-Virtuality Continuum. Achim Ebert and Jessica Lindblom. In Behavior and Information Technology, volume 42, number 3, pp 265-268, Taylor & Francis, 2023. (DOI).
  89. Learning programming practice and programming theory in the computer laboratory. Anna Eckerdal, Anders Berglund, and Michael Thuné. In European Journal of Engineering Education, pp 1-18, 2023. (DOI). Publication status: Epub ahead of print
  90. Pseudocode: scaffolding student object-oriented software design. Anna Eckerdal, Carol Zander, Lynda Thomas, Jan Erik Moström, and Robert McCartney. In <em>Proceedings of the 23rd Koli Calling International Conference on Computing Education Research</em>, pp 1-2, 2023.
  91. Dental hygienists and dentists as providers of brush biopsies for oral mucosa screening. Kristina Edman, Christina Runow Stark, Vladimir Basic, Joakim Lindblad, and Jan-Michaél Hirsch. In International Journal of Dental Hygiene, volume 21, number 3, pp 524-532, John Wiley & Sons, 2023. (DOI, Fulltext, fulltext:print).
  92. Off-Policy Evaluation with Out-of-Sample Guarantees. Sofia Ek, Dave Zachariah, Fredrik D. Johansson, and Peter Stoica. In Transactions on Machine Learning Research, 2023.
  93. Scheduling Dynamic Software Updates in Mobile Robots. Ahmed El Yaacoub, Luca Mottola, Thiemo Voigt, and Philipp Rümmer. In ACM Transactions on Embedded Computing Systems, volume 22, number 6, pp 1-27, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  94. Timing Analysis of Embedded Software Updates. Ahmed El Yaacoub, Luca Mottola, Thiemo Voigt, and Philipp Rümmer. In , 2023.
  95. Multidimensional projection filters via automatic differentiation and sparse-grid integration. Muhammad Fuady Emzir, Zheng Zhao, and Simo Särkkä. In Signal Processing, volume 204, Elsevier, 2023. (DOI).
  96. Incorporating Full Elastodynamic Effects and Dipping Fault Geometries in Community Code Verification Exercises for Simulations of Earthquake Sequences and Aseismic Slip (SEAS). Brittany A. Erickson, Junle Jiang, Valere Lambert, Sylvain D. Barbot, Mohamed Abdelmeguid, Martin Almquist, Jean-Paul Ampuero, Ryosuke Ando, Camilla Cattania, Alexandre Chen, Luca Dal Zilio, Shuai Deng, Eric M. Dunham, Ahmed E. Elbanna, Alice-Agnes Gabriel, Tobias W. Harvey, Yihe Huang, Yoshihiro Kaneko, Jeremy E. Kozdon, Nadia Lapusta, Duo Li, Meng Li, Chao Liang, Yajing Liu, So Ozawa, Andrea Perez-Silva, Casper Pranger, Paul Segall, Yudong Sun, Prithvi Thakur, Carsten Uphoff, Ylona van Dinther, and Yuyun Yang. In Bulletin of The Seismological Society of America (BSSA), volume 113, number 2, pp 499-523, SEISMOLOGICAL SOC AMER, 2023. (DOI).
  97. Black Ostrich: Web Application Scanning with String Solvers. Benjamin Eriksson, Amanda Stjerna, Riccardo De Masellis, Philipp Rümmer, and Andrei Sabelfeld. In CCS '23: Proceedings of the 2023 ACM SIGSAC Conference on Computer and Communications Security, pp 549-563, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  98. Boundary and interface methods for energy stable finite difference discretizations of the dynamic beam equation. Gustav Eriksson, Jonatan Werpers, David Niemelä, Niklas Wik, Valter Zethrin, and Ken Mattsson. In Journal of Computational Physics, volume 476, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  99. Non-conforming Interface Conditions for the Second-Order Wave Equation. Gustav Eriksson. In Journal of Scientific Computing, volume 95, Springer, 2023. (DOI, Fulltext, fulltext:print).
  100. Proportionality on Spatial Data with Context. Georgios J Fakas and Georgios Kalamatianos. In ACM Transactions on Database Systems, volume 48, number 2, pp 1-37, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  101. Adaptive Hyperparameter Selection for Differentially Private Gradient Descent. Dominik Fay, Sindri Magnússon, Jens Sjölund, and Mikael Johansson. In Transactions on Machine Learning Research, 2023. (Article in full-text, fulltext:print).
  102. Speculative inter-thread store-to-load forwarding in SMT architectures. Josue Feliu, Alberto Ros, Manuel E. Acacio, and Stefanos Kaxiras. In Journal of Parallel and Distributed Computing, volume 173, pp 94-106, Elsevier, 2023. (DOI, Fulltext).
  103. A multi-period sustainable hydrogen supply chain model considering pipeline routing and carbon emissions: The case study of Oman. Kamran Forghani, Reza Kia, and Yousef Nejatbakhsh. In Renewable & sustainable energy reviews, volume 173, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  104. Infrastructure and the Energy Use of Human Polities. Jacob Freeman, Jacopo A. Baggio, Lux Miranda, and John M. Anderies. In Cross-cultural research, volume 57, number 2-3, pp 294-322, Sage Publications, 2023. (DOI, fulltext:postprint).
  105. ?-Circulant Matrices: A Selection of Modern Applications from Preconditioning of Approximated PDEs to Subdivision Schemes. Rafael Diaz Fuentes, Stefano Serra-Capizzano, and Rosita Luisa Sormani. In Algorithms, volume 16, number 7, MDPI, 2023. (DOI, fulltext:print).
  106. Personality-Adapted Language Generation for Social Robots. Alessio Galatolo, Iolanda Leite, and Katie Winkle. In 2023 32ND IEEE INTERNATIONAL CONFERENCE ON ROBOT AND HUMAN INTERACTIVE COMMUNICATION, RO-MAN, IEEE RO-MAN, pp 1800-1807, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  107. The Right (Wo)Man for the Job?: Exploring the Role of Gender when Challenging Gender Stereotypes with a Social Robot. Alessio Galatolo, Gaspar I. Melsion, Iolanda Leite, and Katie Winkle. In International Journal of Social Robotics, volume 15, pp 1933-1947, Springer, 2023. (DOI, Fulltext, fulltext:print).
  108. Analysing the effect of different design choices in network-based topic detection. Carla Galluccio, Matteo Magnani, Davide Vega D'Aurelio, Giancarlo Ragozini, and Alessandra Petrucci. In CLADAG2023, 2023. (External link).
  109. Robustness and Sensitivity of Network-Based Topic Detection. Carla Galluccio, Matteo Magnani, Davide Vega, Giancarlo Ragozini, and Alessandra Petrucci. In Complex Networks and their Applications XI: Proceedings of the Eleventh International Conference on Complex Networks and their Applications: COMPLEX NETWORKS 2022, Volume 2, volume 1078 of Studies in Computational Intelligence, pp 259-270, Springer, Cham, 2023. (DOI).
  110. Invertible Kernel PCA With Random Fourier Features. Daniel Gedon, Antônio H. Ribeiro, Niklas Wahlström, and Thomas B. Schön. In IEEE Signal Processing Letters, volume 30, pp 563-567, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  111. Smoothed State Estimation via Efficient Solution of Linear Equations. Li-Hui Geng, Adrian George Wills, Brett Ninness, and Thomas Bo Schön. In IEEE Transactions on Automatic Control, volume 68, number 10, pp 5877-5889, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  112. An Active Learning Approach to Synthesizing Program Contracts. Sandip Ghosal, Bengt Jonsson, and Philipp Rümmer. In , 2023.
  113. An Active Learning Approach to Synthesizing Program Contracts. Sandip Ghosal, Bengt Jonsson, and Philipp Rümmer. In Software Engineering and Formal Methods, SEFM 2023, volume 14323 of Lecture Notes in Computer Science, pp 126-144, Springer, 2023. (DOI).
  114. QTL mapping of the narrow-branch "Pendula" phenotype in Norway spruce (Picea abies L. Karst.). Francisco Gil-Munoz, Carolina Bernhardsson, Sonali Sachin Ranade, Douglas Scofield, Pertti O. Pulkkinen, Par K. Ingvarsson, and M. Rosario Garcia-Gil. In Tree Genetics & Genomes, volume 19, number 3, Springer Nature, 2023. (DOI, fulltext:print).
  115. Sub-Band Full-Duplex for 5G New Radio: Challenges, Solutions and Performance. Lorenza Giupponi, Gabor Fodor, Abhishek Ambede, Dennis Hui, Bo Göransson, and José Mairton Barros da Silva Jr.. In 57th Asilomar Conference on Signals, Systems, and Computers, IEEECONF, Conference Record of the Asilomar Conference on Signals Systems and Computers, pp 167-173, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  116. Fast and Scalable Score-Based Kernel Calibration Tests. Pierre Glaser, David Widmann, Fredrik Lindsten, and Arthur Gretton. In UNCERTAINTY IN ARTIFICIAL INTELLIGENCE, VOL 216, Proceedings of Machine Learning Research, pp 691-700, JMLR-JOURNAL MACHINE LEARNING RESEARCH, 2023.
  117. Information Technology Use and Tasks Left Undone by Nursing Staff: A Qualitative Analysis. Diane Golay, Åsa Cajander, and Minna Salminen-Karlsson. In Health Informatics Journal, volume 29, number 4, pp 1-10, Sage Publications, 2023. (DOI, Fulltext, fulltext:print).
  118. Lethe-DEM: an open-source parallel discrete element solver with load balancing. Shahab Golshan, Peter Munch, Rene Gassmoeller, Martin Kronbichler, and Bruno Blais. In COMPUTATIONAL PARTICLE MECHANICS, volume 10, number 1, pp 77-96, Springer Nature, 2023. (DOI).
  119. Victims and Observers: How Gender, Victimization Experience, and Biases Shape Perceptions of Robot Abuse. Hideki Garcia Goo, Katie Winkle, Tom Williams, and Megan K. Strait. In 2023 32ND IEEE INTERNATIONAL CONFERENCE ON ROBOT AND HUMAN INTERACTIVE COMMUNICATION, RO-MAN, IEEE RO-MAN, pp 2439-2446, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  120. That's How <em>We</em> Role! A Framework for Role Modeling in Computing and Engineering Education: A Focus on the Who, What, How, and Why. Virginia Grande. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2265, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  121. The ascent of memetic movements: Social media, Levinasian ethics and the global spread of Q-anon conspiracy theories. Rickard Grassman, Ryoko Asai, and Matthew Davis. In Ethics and Sustainability in Digital Cultures, Routledge Series on Digital Spaces, pp 143-168, Routledge, Abingdon; New York, 2023. (DOI, fulltext:preprint).
  122. Diffusion-Based 3D Motion Estimation from Sparse 2D Observations. Niklas Gunnarsson, Thomas B. Schön, Jens Sjölund, and Peter Kimstrand. 2023.
  123. Adapting Deep Learning for Microscopy: Interaction, Application, and Validation. Ankit Gupta. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2321, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  124. How Reliable is Your Regression Model’s Uncertainty Under Real-World Distribution Shifts?. Fredrik K. Gustafsson, Martin Danelljan, and Thomas B. Schön. In Transactions on Machine Learning Research, 2023. (External link).
  125. Towards Accurate and Reliable Deep Regression Models. Fredrik K. Gustafsson. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2320, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  126. Finding analytical approximations for discrete, stochastic, individual-based models of ecology. Linnéa Gyllingberg, David J. T. Sumpter, and Åke Brännström. In Mathematical Biosciences, volume 365, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  127. The lost art of mathematical modelling. Linnéa Gyllingberg, Abeba Birhane, and David J. T. Sumpter. In Mathematical Biosciences, volume 362, Elsevier, 2023. (DOI, fulltext:print).
  128. Using neuronal models to capture burst-and-glide motion and leadership in fish. Linnéa Gyllingberg, Alex Szorkovszky, and David J. T. Sumpter. In Journal of the Royal Society Interface, volume 20, number 204, Royal Society, 2023. (DOI, fulltext:print).
  129. End-to-end risk prediction of atrial fibrillation from the 12-Lead ECG by deep neural networks. Theogene Habineza, Antônio Horta Ribeiro, Daniel Gedon, Joachim A. Behar, Antonio Luiz P. Ribeiro, and Thomas B. Schön. In Journal of Electrocardiology, volume 81, pp 193-200, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  130. Large-scale Graph Processing on Commodity Systems: Understanding and Mitigating the Impact of Swapping. Alireza Haddadi, David Black-Schaffer, and Chang Hyun Park. In The International Symposium on Memory Systems (MEMSYS '23), pp 1-11, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  131. Label-free deep learning-based species classification of bacteria imaged by phase-contrast microscopy. Erik Hallström, Vinodh Kandavalli, Petter Ranefall, Johan Elf, and Carolina Wählby. In PloS Computational Biology, volume 19, number 11, Public Library of Science (PLoS), 2023. (DOI, Fulltext, fulltext:print).
  132. Proteome deconvolution of liver biopsies reveals hepatic cell composition as an important marker of fibrosis. Niklas Handin, Di Yuan, Magnus Olander, Christine Wegler, Cecilia Karlsson, Rasmus Jansson-Lofmark, Joran Hjelmesaeth, Anders Asberg, Volker M. Lauschke, and Per Artursson. In Computational and Structural Biotechnology Journal, volume 21, pp 4361-4369, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  133. Evaluating the utility of brightfield image data for mechanism of action prediction. Philip John Harrison, Ankit Gupta, Jonne Rietdijk, Håkan Wieslander, Jordi Carreras-Puigvert, Polina Georgiev, Carolina Wählby, Ola Spjuth, and Ida-Maria Sintorn. In PloS Computational Biology, volume 19, number 7, Public Library of Science (PLoS), 2023. (DOI, Fulltext, fulltext:print).
  134. Protean: Resource-efficient Instruction Prefetching. Muhammad Hassan, Chang Hyun Park, and David Black-Schaffer. In The International Symposium on Memory Systems (MEMSYS '23), pp 1-13, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  135. Age-Invariant Face Recognition using Face Feature Vectors and Embedded Prototype Subspace Classifiers. Anders Hast. In Advanced Concepts for Intelligent Vision Systems, volume 14124 of Lecture Notes in Computer Science (LNCS), pp 88-99, Springer Nature, 2023. (DOI).
  136. Consensus Ranking for Efficient Face Image Retrieval: A Novel Method for Maximising Precision and Recall. Anders Hast. In Image Analysis and Processing – ICIAP 2023, volume 14233 of Lecture Notes in Computer Science, pp 159-170, Springer Nature, 2023. (DOI).
  137. Sex Classification of Face Images using Embedded Prototype Subspace Classifiers.. Anders Hast. In Proceedings of the 31th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision WSCG 2023, pp 43-52, Czech Republic, 2023.
  138. Battery-free Neighbor Discovery. Saptarshi Hazra, Fehmi Ben Abdesslem, and Thiemo Voigt. In IPSN '23: Proceedings of the 22nd International Conference on Information Processing in Sensor Networks, pp 318-319, Association for Computing Machinery (ACM), 2023. (DOI).
  139. A Study of Augmentation Methods for Handwritten Stenography Recognition. Raphaela Heil and Eva Breznik. In , 2023. (DOI).
  140. Document Image Processing for Handwritten Text Recognition: Deep Learning-based Transliteration of Astrid Lindgren’s Stenographic Manuscripts. Raphaela Heil. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2294, Skrifter utgivna av Svenska barnboksinstitutet nr 166, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  141. On Optimal Convergence Rates for Discrete Minimizers of the Gross-Pitaevskii Energy in Localized Orthogonal Decomposition Spaces. Patrick Henning and Anna Persson. In Multiscale Modeling & simulation, volume 21, number 3, pp 993-1011, Society for Industrial and Applied Mathematics, 2023. (DOI).
  142. Inferring the probability distribution over strain tensors in polycrystals from diffraction based measurements. Axel Henningsson, Adrian G. Wills, Stephen A. Hall, Johannes Hendriks, Jonathan P. Wright, Thomas B. Schön, and Henning F. Poulsen. In Computer Methods in Applied Mechanics and Engineering, volume 417, number Part A, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  143. Learn2Reg: comprehensive multi-task medical image registration challenge, dataset and evaluation in the era of deep learning. Alessa Hering, Lasse Hansen, Tony C. W. Mok, Albert C. S. Chung, Hanna Siebert, Stephanie Hager, Annkristin Lange, Sven Kuckertz, Stefan Heldmann, Wei Shao, Sulaiman Vesal, Mirabela Rusu, Geoffrey Sonn, Theo Estienne, Maria Vakalopoulou, Luyi Han, Yunzhi Huang, Pew-Thian Yap, Mikael Brudfors, Yael Balbastre, Samuel Joutard, Marc Modat, Gal Lifshitz, Dan Raviv, Jinxin Lv, Qiang Li, Vincent Jaouen, Dimitris Visvikis, Constance Fourcade, Mathieu Rubeaux, Wentao Pan, Zhe Xu, Bailiang Jian, Francesca De Benetti, Marek Wodzinski, Niklas Gunnarsson, Jens Sjölund, Daniel Grzech, Huaqi Qiu, Zeju Li, Alexander Thorley, Jinming Duan, Christoph Grossbrohmer, Andrew Hoopes, Ingerid Reinertsen, Yiming Xiao, Bennett Landman, Yuankai Huo, Keelin Murphy, and Nikolas Lessmann. In IEEE Transactions on Medical Imaging, volume 42, number 3, pp 697-712, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI, Fulltext, fulltext:print).
  144. Enactive artificial intelligence: subverting gender norms in human-robot interaction. Inês Hipólito, Katie Winkle, and Merete Lie. In Frontiers in Neurorobotics, volume 17, 2023. (DOI, External link, fulltext:print).
  145. A paradigm shift in the prevention and diagnosis of oral squamous cell carcinoma.. Jan Hirsch, Ronak Sandy, Bengt Hasséus, and Joakim Lindblad. In Journal of Oral Pathology & Medicine, volume 52, number 9, pp 826-833, John Wiley & Sons, 2023. (DOI, Fulltext, fulltext:print).
  146. A preconditioned MINRES method for optimal control of wave equations and its asymptotic spectral distribution theory. Sean Hon, Jiamei Dong, and Stefano Serra. In SIAM Journal on Matrix Analysis and Applications, volume 44, number 4, pp 1477-1509, Society for Industrial and Applied Mathematics, 2023. (DOI).
  147. Overparameterized Linear Regression Under Adversarial Attacks. Antônio Horta Ribeiro and Thomas B. Schön. In IEEE Transactions on Signal Processing, volume 71, pp 601-614, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  148. Regularization properties of adversarially-trained linear regression. Antônio Horta Ribeiro, Dave Zachariah, Francis Bach, and Thomas B. Schön. In Advances in Neural Information Processing Systems 36 (NeurIPS 2023), Advances in Neural Information Processing Systems, pp 23658-23670, Curran Associates, Inc., 2023. (Paper in fulltext).
  149. Reply to "The Limitations of Growth-Optimal Approaches to Decision Making Under Uncertainty". Oliver Hulme, Arne Vanhoyweghen, Colm Connaughton, Ole Peters, Simon Steinkamp, Alexander Adamou, Dominik Baumann, Vincent Ginis, Bert Verbruggen, James Price, and Benjamin Skjold. In Econ Journal Watch, volume 20, number 2, pp 335-348, Institute of Spontaneous Order Economics, 2023.
  150. Diagnostic Tool for Out-of-Sample Model Evaluation. Ludvig Hult, Dave Zachariah, and Peter Stoica. In Transactions on Machine Learning Research, number 10, OpenReview, 2023. (Article and review in full-text, fulltext:print).
  151. The NORDeHEALTH 2022 Patient Survey: Cross-Sectional Study of National Patient Portal Users in Norway, Sweden, Finland, and Estonia. Maria Hägglund, Anna Kharko, Josefin Hagström, Annika Bärkås, Charlotte Blease, Åsa Cajander, Catherine DesRoches, Asbjørn Johansen Fagerlund, Barbara Haage, Isto Huvila, Iiris Hörhammer, Bridget Kane, Gunnar O Klein, Eli Kristiansen, Kerli Luks, Jonas Moll, Irene Muli, Eline Hovstad Raphaug, Hanife Rexhepi, Sara Riggare, Peeter Ross, Isabella Scandurra, Saija Simola, Hedvig Soone, Bo Wang, Maedeh Ghorbanian Zolbin, Rose-Mharie Åhlfeldt, Sari Kujala, and Monika Alise Johansen. In Journal of Medical Internet Research, volume 25, JMIR Publications, 2023. (DOI, Fulltext, fulltext:print).
  152. Lightweight certificate revocation for low-power IoT with end-to-end security. Joel Höglund, Martin Furuhed, and Shahid Raza. In Journal of Information Security and Applications, volume 73, Elsevier, Amsterdam, 2023. (DOI).
  153. Public Key Infrastructure and its applications for resource-constrained IoT. Joel Höglund. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2230, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  154. Scaling up Roundoff Analysis of Functional Data Structure Programs. Anastasia Isychev and Eva Darulova. In Static Analysis: 30th International Symposium, SAS 2023, 2023.
  155. Decision Procedures for Sequence Theories. Artur Jez, Anthony W. Lin, Oliver Markgraf, and Philipp Rümmer. In CAV 2023: Computer Aided Verification, volume 13965 of Lecture Notes in Computer Science, pp 18-40, Springer Nature, Cham, 2023. (DOI, Fulltext).
  156. Analysis and Optimization of Worst-Case Time Disparity in Cause-Effect Chains. Xu Jiang, Xiantong Luo, Nan Guan, Zheng Dong, Shaoshan Liu, and Yi Wang. In 2023 Design, Automation &amp; Test in Europe Conference &amp; Exhibition (DATE), Design Automation and Test in Europe Conference and Exhibition, pp 1-6, IEEE, 2023. (DOI).
  157. Scheduling Parallel Real-Time Tasks on Virtual Processors. Xu Jiang, Haochun Liang, Nan Guan, Yue Tang, Lei Qiao, and Yi Wang. In IEEE Transactions on Parallel and Distributed Systems, volume 34, number 1, pp 33-47, IEEE, 2023. (DOI).
  158. Leveraging Machine Learning for Disease Diagnoses based on Wearable Devices: A Survey. Z. Jiang, Vera van Zoest, W. Deng, E. C. H. Ngai, and J. Liu. In IEEE Internet of Things Journal, volume 10, number 24, pp 21959-21981, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  159. Screening for Chagas disease from the electrocardiogram using a deep neural network. Carl Jidling, Daniel Gedon, Thomas B. Schön, Claudia Di Lorenzo Oliveira, Clareci Silva C. Cardoso, Ariela Mota Ferreira, Luana Giatti, Sandhi Maria Barreto, Ester Sabino, Antonio L. P. Ribeiro, and Antônio Horta Ribeiro. In PLoS Neglected Tropical Diseases, volume 17, number 7, Public Library of Science (PLoS), 2023. (DOI, fulltext:print).
  160. Automated detection, segmentation and measurement of major vessels and the trachea in CT pulmonary angiography. Ali T. Kahraman, Tomas Fröding, Dimitrios Toumpanakis, Nataša Sladoje, and Tobias Sjöblom. In Scientific Reports, volume 13, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  161. Federated Learning Using Three-Operator ADMM. Shashi Kant, Jose Mairton Barros da Silva Junior, Gabor Fodor, Bo Goransson, Mats Bengtsson, and Carlo Fischione. In IEEE Journal on Selected Topics in Signal Processing, volume 17, number 1, pp 205-221, IEEE Signal Processing Society, 2023. (DOI, Fulltext).
  162. Nonadiabatic wave packet dynamics and predissociation resonances in sodium hydride. Hans O. Karlsson. In Physical Chemistry, Chemical Physics - PCCP, volume 25, number 12, pp 8482-8488, Royal Society of Chemistry, Cambridge, UK, 2023. (DOI, Fulltext, fulltext:print).
  163. Y-Linked Copy Number Polymorphism of Target of Rapamycin Is Associated with Sexual Size Dimorphism in Seed Beetles. Philipp Kaufmann, R. Axel W. Wiberg, Konstantinos Papachristos, Douglas Scofield, Christian Tellgren-Roth, and Elina Immonen. In Molecular biology and evolution, volume 40, number 8, Oxford University Press, 2023. (DOI, Fulltext, fulltext:print).
  164. Toward Multi-Agent Reinforcement Learning for Distributed Event-Triggered Control. Lukas Kesper, Sebastian Trimpe, and Dominik Baumann. In LEARNING FOR DYNAMICS AND CONTROL CONFERENCE, VOL 211, Proceedings of Machine Learning Research, JMIR Publications, 2023.
  165. Generalized elementary functions. Christer Oscar Kiselman. In Complex Variables and Elliptic Equations, volume 68, number 6, pp 918-931, Taylor & Francis, 2023. (DOI, Fulltext, fulltext:print).
  166. 3-D Attention-SEV-Net for Segmentation of Post-operative Glioblastoma with Interactive Correction of Over-Segmentation. Swagata Kundu, Subhashis Banerjee, Dimitrios Toumpanakis, Johan Wikström, Robin Strand, and Ashis Kumar Dhara. In Pattern Recognition and Machine Intelligence, PREMI 2023, volume 14301 of Lecture Notes in Computer Science, pp 380-387, Springer, 2023. (DOI).
  167. Doppelganger Loads: A Safe, Complexity-Effective Optimization for Secure Speculation Schemes. Amund Bergland Kvalsvik, Pavlos Aimoniotis, Stefanos Kaxiras, and Magnus Själander. In ISCA '23: Proceedings of the 50th Annual International Symposium on Computer Architecture, Conference Proceedings Annual International Symposium on Computer Architecture, Association for Computing Machinery (ACM), New York, NY, 2023. (DOI, fulltext:print).
  168. Human-Swarm Interaction in Semi-voluntary Search and Rescue Operations: Opportunities and Challenges. Jonathan Källbäcker and Oscar Bjurling. In ECCE '23: Proceedings of the European Conference on Cognitive Ergonomics, pp 1-7, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  169. Storage System Design for Improved Primary Frequency Control From Hydropower Units. Danilo Laban, Per Norrlund, and Urban Lundin. In IEEE transactions on energy conversion, volume 38, number 3, pp 1836-1844, IEEE, 2023. (DOI, Fulltext).
  170. Understanding the challenges and need for support of informal caregivers to individuals with head and neck cancer - A basis for developing internet-based support. Ulrica Langegård, Åsa Cajander, Awais Ahmad, Maria E. Carlsson, Emma Ohlsson Nevo, Birgitta Johansson, and Ylva Tiblom Ehrsson. In European Journal of Oncology Nursing, volume 64, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  171. Scaling of radial basis functions. Elisabeth Larsson and Robert Schaback. In IMA Journal of Numerical Analysis, volume 44, number 2, pp 1130-1152, Oxford University Press, 2023. (DOI).
  172. Towards a Socio-Legal Robotics: A Theoretical Framework on Norms and Adaptive Technologies. Stefan Larsson, Mia Liinason, Laetitia Tanqueray, and Ginevra Castellano. In International Journal of Social Robotics, volume 15, number 11, pp 1755-1768, Springer, 2023. (DOI, Fulltext, fulltext:print).
  173. Contextual Think Aloud: Method for Understanding Users and Their Digital Work Environment. Marta Larusdottir and Åsa Cajander. In , 2023.
  174. A finite element based Heterogeneous Multiscale Method for the Landau-Lifshitz equation. Lena Leitenmaier and Murtazo Nazarov. In Journal of Computational Physics, volume 486, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  175. An Experimental Study of Byzantine-Robust Aggregation Schemes in Federated Learning. Shenghui Li, Edith C.-H. Ngai, and Thiemo Voigt. In IEEE Transactions on Big Data, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI). Publication status: Epub ahead of print
  176. Byzantine-Robust Aggregation in Federated Learning Empowered Industrial IoT. Shenghui Li, Edith Ngai, and Thiemo Voigt. In IEEE Transactions on Industrial Informatics, volume 19, number 2, pp 1165-1175, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  177. Profiling spatiotemporal gene expression of the developing human spinal cord and implications for ependymoma origin. Xiaofei Li, Zaneta Andrusivova, Paulo Czarnewski, Christoffer Mattsson Langseth, Alma Andersson, Yang Liu, Daniel Gyllborg, Emelie Braun, Ludvig Larsson, Lijuan Hu, Zhanna Alekseenko, Hower Lee, Christophe Avenel, Helena Kopp Kallner, Elisabet Akesson, Igor Adameyko, Mats Nilsson, Sten Linnarsson, Joakim Lundeberg, and Erik Sundstrom. In Nature Neuroscience, volume 26, number 5, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  178. Secure State Estimation with Asynchronous Measurements against Malicious Measurement-data and Time-stamp Manipulation. Zishuo Li, Anh Tung Nguyen, André Teixeira, Yilin Mo, and Karl H Johansson. In 2023 62nd IEEE Conference on Decision and Control (CDC), pp 7073-7080, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  179. Response Time Analysis and Optimization of DAG Tasks Exploiting Mutually Exclusive Execution. Haochun Liang, Xu Jiang, Nan Guan, Qingqiang He, and Yi Wang. In 2023 60th ACM/IEEE Design Automation Conference (DAC), Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  180. Persistent discrepancies in orbital morphology after surgical treatment of unicoronal craniosynostosis: a critical image-based analysis. Hanna M. Lif, Johan Nysjö, Johan Vegelius, Jesper Unander-Scharin, Per Enblad, and Daniel Nowinski. In Journal of Neurosurgery: Pediatrics, volume 31, number 6, pp 574-583, Journal of Neurosurgery Publishing Group (JNSPG), 2023. (DOI).
  181. The Quest for Appropriate Human-Robot Interaction Strategies in Industrial Contexts. Jessica Lindblom and Beatrice Alenljung. In Advances in Manufacturing Technology XXXVI, volume 44 of Advances in Transdisciplinary Engineering, pp 87-92, IOS Press, 2023. (DOI, Fulltext, fulltext:print).
  182. Heart age gap estimated by explainable advanced electrocardiography is associated with cardiovascular risk factors and survival. Thomas Lindow, Maren Maanja, Erik B. Schelbert, Antônio Horta Ribeiro, Antonio Luiz P. Ribeiro, Todd T. Schlegel, and Martin Ugander. In The European Heart Journal - Digital Health, volume 4, number 5, pp 384-392, Oxford University Press, 2023. (DOI, Fulltext, fulltext:print).
  183. Change Point Detection with Adaptive Measurement Schedules for Network Performance Verification. Simon Lindståhl, Alexandre Proutiere, and Andreas Johnsson. In Proceedings of the ACM on Measurement and Analysis of Computing Systems (POMACS), volume 7, number 3, Association for Computing Machinery (ACM), 2023. (DOI).
  184. Sound Mixed Fixed-Point Quantization of Neural Networks. Debasmita Lohar, Clothilde Jeangoudoux, Anastasia Volkova, and Eva Darulova. In ACM Transactions on Embedded Computing Systems, 2023. Publication status: Accepted
  185. Sound Mixed Fixed-Point Quantization of Neural Networks. Debasmita Lohar, Clothilde Jeangoudoux, Anastasia Volkova, and Eva Darulova. In ACM Transactions on Embedded Computing Systems, volume 22, number 5, pp 1-26, Association for Computing Machinery (ACM), 2023. (DOI, fulltext:print).
  186. A high-order artificial compressibility method based on Taylor series time-stepping for variable density flow. Lukas Lundgren and Murtazo Nazarov. In Journal of Computational and Applied Mathematics, volume 421, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  187. High-order finite element methods for incompressible variable density flow. Lukas Lundgren. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2277, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  188. Guest Editorial Special Issue on Emerging Topics on Development and Learning. Dingsheng Luo, Angelo Cangelosi, Alessandra Sciutti, Weiwei Wan, and Ana Tanevska. In IEEE Transactions on Cognitive and Developmental Systems, volume 15, number 4, pp 1795-1800, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  189. Image Restoration with Mean-Reverting Stochastic Differential Equations. Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, and Jens Sjölund. In Proceedings of the 40th International Conference on Machine Learning, volume 202 of Proceedings of Machine Learning Research, pp 23045-23066, 2023. (Article in full-text, fulltext:print).
  190. Refusion: Enabling Large-Size Realistic Image Restoration With Latent-Space Diffusion Models. Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, Jens Sjölund, and Thomas B. Schön. In 2023 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), pp 1680-1691, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  191. Anomaly detection based on multi-teacher knowledge distillation. Ye Ma, Xu Jiang, Nan Guan, and Yi Wang. In Journal of systems architecture, volume 138, Elsevier, 2023. (DOI).
  192. Decomposing and Tracing Mutual Information by Quantifying Reachable Decision Regions. Tobias Mages and Christian Rohner. In Entropy, volume 25, number 7, MDPI, 2023. (DOI, Fulltext, fulltext:print).
  193. Demo: An Educational Platform to Learn Radio Frequency Wireless Communication. Tobias Mages, Wenqing Yan, Ambuj Varshney, and Christian Rohner. In Proceedings of the 21st Annual International Conference on Mobile Systems, Applications and Services, pp 600-601, New York, NY, USA, 2023. (DOI, External link).
  194. A Practical Algorithm for Max-Norm OptimalBinary Labeling of Graphs. Filip Malmberg and Alexandre X Falcão. In Graph-Based Representations in Pattern Recognition, Lecture Notes in Computer Science, Springer, 2023.
  195. Bayesian Monitoring of COVID-19 in Sweden. Robin Marin, Håkan Runvik, Alexander Medvedev, and Stefan Engblom. In Epidemics, volume 45, Elsevier, 2023. (DOI, Fulltext, Pre-print in arXiv, fulltext:print).
  196. Gaussian inference for data-driven state-feedback design of nonlinear systems. Tim Martin, Thomas B. Schön, and Frank Allgöwer. In IFAC-PapersOnLine, volume 56, number 2, pp 4796-4803, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  197. Guarantees for data-driven control of nonlinear systems using semidefinite programming: A survey. Tim Martin, Thomas B. Schön, and Frank Allgöwer. In Annual Reviews in Control, volume 56, Elsevier, 2023. (DOI).
  198. Contributions of cell behavior to geometric order in embryonic cartilage. Sonja Mathias, Igor Adameyko, Andreas Hellander, and Jochen Kursawe. In PloS Computational Biology, volume 19, number 11, Public Library of Science (PLoS), 2023. (DOI, Fulltext, fulltext:print).
  199. Implicit summation by parts operators for finite difference approximations of first and second derivatives. Ken Mattsson and Ylva Ljungberg Rydin. In Journal of Computational Physics, volume 473, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  200. Analysis of the Minimum-Norm Least-Squares Estimator and Its Double-Descent Behavior [Lecture Notes]. Per Mattsson, Dave Zachariah, and Peter Stoica. In IEEE signal processing magazine (Print), volume 40, number 3, pp 39-75, IEEE, 2023. (DOI).
  201. On the regularization in DeePC. Per Mattsson and Thomas B. Schön. In IFAC-PapersOnLine, volume 56, number 2, pp 625-631, Elsevier, 2023. (DOI).
  202. Regularized Linear Regression via Covariance Fitting. Per Mattsson, Dave Zachariah, and Peter Stoica. In IEEE Transactions on Signal Processing, volume 71, pp 1175-1183, IEEE, 2023. (DOI).
  203. Explicit implicit domain splitting for two phase flows with phase transition. Sandra May and Ferdinand Thein. In Physics of fluids, volume 35, number 1, American Institute of Physics (AIP), 2023. (DOI).
  204. In Search of a Philosophy of Computing Education. Roger McDermott, Mats Daniels, and Stephen Frezza. In 2023 IEEE Frontiers in Education Conference (FIE), College Station, Texas, USA, 2023. (DOI).
  205. Steps Towards a Philosophy of Computing Education. Roger McDermott, Mats Daniels, and Steve Frezza. In ACM Koli Calling International Conference on Computing Education Research, ACM, 2023.
  206. What is Skill? (and why does it matter?). Roger McDermott and Mats Daniels. In 2023 IEEE Frontiers in Education Conference (FIE), College Station, Texas, USA, 2023. (DOI).
  207. Design of the Impulsive Goodwin's Oscillator: A Case Study. Alexander Medvedev, Anton V. Proskurnikov, and Zhanybai T. Zhusubaliyev. In 2023 American Control Conference (ACC), Proceedings of the American Control Conference, pp 3572-3577, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  208. Design of the Impulsive Goodwin's Oscillator in 1-cycle. Alexander Medvedev, Anton V. Proskurnikov, and Zhanybai T. Zhusubaliyev. In IFAC-PapersOnLine, volume 56, number 2, pp 6660-6665, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  209. What's at Stake?: Robot explanations matter for high but not low-stake scenarios. Gaspar Isaac Melsion, Rebecca Stower, Katie Winkle, and Iolanda Leite. In 2023 32ND IEEE INTERNATIONAL CONFERENCE ON ROBOT AND HUMAN INTERACTIVE COMMUNICATION, RO-MAN, IEEE RO-MAN, pp 2421-2426, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  210. The D-RBF-PU method for solving surface PDEs. Reyhaneh Mir and Davoud Mirzaei. In Journal of Computational Physics, volume 479, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  211. Examining the State of Robot Identity. Lux Miranda, Ginevra Castellano, and Katie Winkle. In HRI '23: Proceedings of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, volume 2023 of ACM/IEEE International Conference on Human-Robot Interaction, pp 658-662, Association for Computing Machinery (ACM), New York, 2023. (DOI, Fulltext, fulltext:print).
  212. A fault detection method based on partition of unity and kernel approximation. Davoud Mirzaei and Navid Soodbakhsh. In Numerical Algorithms, volume 93, number 4, pp 1759-1794, Springer, 2023. (DOI, Fulltext, fulltext:print).
  213. What if a Social Robot Excluded You?: Using a Conversational Game to Study Social Exclusion in Teen-robot Mixed Groups. Sara Mongile, Giulia Pusceddu, Francesca Cocchella, Linda Lastrico, Giulia Belgiovine, Ana Tanevska, Francesco Rea, and Alessandra Sciutti. In HRI '23: Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, pp 208-212, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  214. (Counter-)stereotypical Gendering of Robots in Care: Impact on Needs Satisfaction and Gender Role Concepts in Men and Women Users. Laura Moradbakhti, Martina Mara, Ginevra Castellano, and Katie Winkle. In International Journal of Social Robotics, volume 15, pp 1769-1790, Springer, 2023. (DOI, Fulltext, fulltext:print).
  215. Learned Reconstruction Methods With Convergence Guarantees: A survey of concepts and applications. Subhadip Mukherjee, Andreas Hauptmann, Ozan Öktem, Marcelo Pereyra, and Carola-Bibiane Schonlieb. In IEEE signal processing magazine (Print), volume 40, number 1, pp 164-182, IEEE, 2023. (DOI, Fulltext).
  216. To connect or not connect: long-term adoption of video consultations, and reasons for discontinuing use. Irene Muli, Helena Hvitfeldt, Åsa Cajander, Lovisa Jäderlund Hagstedt, Nadia Davoody, Marina Taloyan, and Maria Hägglund. In Journal of Telemedicine and Telecare, SAGE Publications, 2023. (DOI, External link). Publication status: Epub ahead of print
  217. Efficient distributed matrix-free multigrid methods on locally refined meshes for FEM computations. Peter Munch, Timo Heister, Laura Prieto Saavedra, and Martin Kronbichler. In ACM transactions on parallel computing, volume 10, number 1, pp 1-38, Association for Computing Machinery (ACM), 2023. (DOI).
  218. Stage-parallel fully implicit Runge-Kutta implementations with optimal multilevel preconditioners at the scaling limit. Peter Munch, Ivo Dravins, Martin Kronbichler, and Maya Neytcheva. In SIAM Journal on Scientific Computing, volume 46, number 2, pp 71-96, 2023. (DOI, Fulltext, External link).
  219. A PUF-Based Indirect Authentication and Key Establishment Protocol for Wearable Devices. Vipin N. Sathi, Christian Rohner, and Thiemo Voigt. In ICC 2023 - IEEE International Conference on Communications, IEEE International Conference on Communications, pp 615-621, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  220. Quickest detection of deception attacks on cyber-physical systems with a parsimonious watermarking policy. Arunava Naha, André Teixeira, Anders Ahlén, and Subhrakanti Dey. In Automatica, volume 155, Elsevier, 2023. (DOI).
  221. Quickest physical watermarking-based detection of measurement replacement attacks in networked control systems. Arunava Naha, André Teixeira, Anders Ahlén, and Subhrakanti Dey. In European Journal of Control, volume 71, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  222. Sequential detection of Replay attacks. Arunava Naha, André Teixeira, Anders Ahlén, and Subhrakanti Dey. In IEEE Transactions on Automatic Control, volume 68, number 3, pp 1941-1948, IEEE, 2023. (DOI).
  223. Approximation results for Beta Jakimovski-Leviatan type operators via q-analogue. Md. Nasiruzzaman, Mohammed A. O. Tom, Stefano Serra-Capizzano, Nadeem Rao, and Mohammad Ayman-Mursaleen. In Filomat, volume 37, number 24, pp 8389-8404, Faculty of Sciences and Mathematics, University of Nis?, 2023. (DOI, External link).
  224. In vivo trueness and precision of full-arch implant scans using intraoral scanners with three different acquisition protocols. Robert Nedelcu, Pontus Olsson, Måns Thulin, Ingela Nyström, and Andreas Thor. In Journal of Dentistry, volume 128, pp 104308-104308, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  225. In vivo trueness of full-arch implant-supported CAD/CAM restorations and models based on conventional impressions. Robert Nedelcu, Pontus Olsson, Måns Thulin, Ingela Nyström, and Andreas Thor. In Journal of Dentistry, volume 128, pp 104381-104381, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  226. Exploring the Latency Sensitivity of Cache Replacement Policies. Ahmed Nematallah, Chang Hyun Park, and David Black-Schaffer. In IEEE Computer Architecture Letters, volume 22, number 2, pp 93-96, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI, fulltext:postprint).
  227. Space temperature policy towards net-zero: Recommendations from a systematic review of UK HEI heating policies. Kathy New, Adam Tyler, Adrian Friday, Mike Hazas, and Alexandra Gormally. In Building Research & Information, volume 51, number 2, pp 223-239, Routledge, 2023. (DOI, Fulltext, fulltext:print).
  228. Optimal Detector Placement in Networked Control Systems under Cyber-attacks with Applications to Power Networks. Anh Tung Nguyen, Sribalaji Coimbatore Anand, André Teixeira, and Alexander Medvedev. In IFAC-PapersOnLine, volume 56, number 2, pp 1820-1826, Elsevier, 2023. (DOI).
  229. Security Allocation in Networked Control Systems. Anh Tung Nguyen. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2023-003, Uppsala universitet, Uppsala, 2023. (fulltext).
  230. Distributed formation trajectory planning for multi-vehicle systems. Binh Nguyen, Truong Nghiem, Linh Nguyen, Anh Tung Nguyen, Hung La, Mehdi Sookhak, and Thang Nguyen. In 2023 American Control Conference (ACC), Proceedings of the American Control Conference, pp 1325-1330, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  231. Real-time distributed trajectory planning for mobile robots. Binh Nguyen, Truong Nghiem, Linh Nguyen, Anh Tung Nguyen, and Thang Nguyen. In IFAC-PapersOnLine, volume 56, number 2, pp 2152-2157, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  232. Contrastive Learning of Equivariant Image Representations for Multimodal Deformable Registration. Love Nordling, Johan Öfverstedt, Joakim Lindblad, and Nataša Sladoje. In 2023 IEEE 20th International Symposium on Biomedical Imaging (ISBI), International Symposium on Biomedical Imaging, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  233. Multimodal deformable image registration using contrastive learning of equivariant image representations. Love Nordling, Johan Öfverstedt, Joakim Lindblad, and Nataša Sladoje. In , 2023. (Conference information).
  234. Metabolic Demands and Kinematics During Level Walking in Darkness With No Vision or With Visual Aid. Lena Norrbrand, Mikael Grönkvist, Stylianos Kounalakis, Kjartan Halvorsen, and Ola Eiken. In Military medicine, volume 188, number 7-8, pp E2010-E2017, Oxford University Press, 2023. (DOI, Fulltext, fulltext:print).
  235. Neuromuscular controller models for quantifying standing balance in older people: A systematic review. Fredrik Olsson, Kjartan Halvorsen, and Anna Cristina Åberg. In IEEE Reviews in Biomedical Engineering, volume 16, pp 560-578, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  236. Online Learning for Prediction via Covariance Fitting: Computation, Performance and Robustness. Muhammad Osama, Dave Zachariah, Peter Stoica, and Thomas B. Schön. In Transactions on Machine Learning Research, Transactions on Machine Learning Research, 2023. (Publications in full-text).
  237. Queer In AI: A Case Study in Community-Led Participatory AI. Anaelia Ovalle, Arjun Subramonian, Ashwin Singh, Claas Voelcker, Danica J. Sutherland, Davide Locatelli, Eva Breznik, Filip Klubicka, Hang Yuan, J. Hetvi, Huan Zhang, Jaidev Shriram, Kruno Lehman, Luca Soldaini, Maarten Sap, Marc Peter Deisenroth, Maria Leonor Pacheco, Maria Ryskina, Martin Mundt, Milind Agarwal, Nyx McLean, Pan Xu, A. Pranav, Raj Korpan, Ruchira Ray, Sarah Mathew, Sarthak Arora, S. T. John, Tanvi Anand, Vishakha Agrawal, William Agnew, Yanan Long, Zijie J. Wang, Zeerak Talat, Avijit Ghosh, Nathaniel Dennler, Michael Noseworthy, Sharvani Jha, Emi Baylor, Aditya Joshi, Natalia Y. Bilenko, Andrew McNamara, Raphael Gontijo-Lopes, Alex Markham, Evyn Dong, Jackie Kay, Manu Saraswat, Nikhil Vytla, and Luke Stark. In FAccT '23: Proceedings of the 2023 ACM Conference on Fairness, Accountability, and Transparency, pp 1882-1895, Association for Computing Machinery (ACM), 2023. (DOI).
  238. RFID Tags as Passive Temperature Sensors. Madhushanka Padmal, Christian Rohner, Robin Augustine, and Thiemo Voigt. In 17th annual international conference on RFID, Seattle, WA, USA, June 13-15, 2023., IEEE International Conference on RFID, pp 48-53, 2023. (DOI, fulltext:postprint).
  239. Signal Leakage in Fat Tissue-Based In-Body Communication: Preserving Implant Data Privacy. Madhushanka Padmal, Johan Engstrand, Robin Augustine, and Thiemo Voigt. In MSWiM '23: Proceedings of the Int'l ACM Conference on Modeling Analysis and Simulation of Wireless and Mobile Systems, pp 225-232, Association for Computing Machinery (ACM), 2023. (DOI).
  240. Statically Resolvable Ambiguity. Viktor Palmkvist, Elias Castegren, Philipp Haller, and David Broman. In Proceedings of the ACM on Programming Languages, volume 7, number POPL, pp 1686-1712, ACM Digital Library, 2023. (DOI, Fulltext, fulltext:print).
  241. A review of intelligent infrastructure surveillance to support safe autonomy in smart-railways. Mauro Jose Pappaterra and Francesco Flammini. In 2023 IEEE 26th International Conference on Intelligent Transportation Systems, ITSC, IEEE International Conference on Intelligent Transportation Systems-ITSC, pp 5603-5610, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  242. How Did We Miss This?: A Case Study on Unintended Biases in Robot Social Behavior. Maria Teresa Parreira, Sarah Gillet, Katie Winkle, and Iolanda Leite. In Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, volume 2023 of ACM/IEEE International Conference on Human-Robot Interaction, pp 11-20, Association for Computing Machinery (ACM), 2023. (DOI).
  243. Weakly supervised joint whole-slide segmentation and classification in prostate cancer. Pushpak Pati, Guillaume Jaume, Zeineb Ayadi, Kevin Thandiackal, Behzad Bozorgtabar, Maria Gabrani, and Orcun Göksel. In Medical Image Analysis, Elsevier, 2023. (DOI).
  244. Exploring Mothers' Perspectives on Socially Assistive Robots in Peripartum Depression Screening. Tobiaz Paulsson, Mengyu Zhong, Isabel García Velázquez, and Ginevra Castellano. In HRI '23: Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, pp 486-490, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  245. Theory and Approaches to Computing Education Research. Arnold Pears, Mats Daniels, and Anders Berglund. In Past, Present and Future of Computing Education Research, pp 33-50, Springer Nature, 2023. (DOI).
  246. Editorial Special Issue Special Issue on GENDERING ROBOTS (GenR): Ongoing (Re)Configurations of Gender in Robotics. Giulia Perugia, Katie Winkle, and Dominika Lisy. In International Journal of Social Robotics, volume 15, number 11, pp 1705-1706, Springer, 2023. (DOI).
  247. Learning-based prediction, representation, and multimodal registration for bioimage processing. Nicolas Pielawski. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2244, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  248. TissUUmaps 3: Improvements in interactive visualization, exploration, and quality assessment of large-scale spatial omics data. Nicolas Pielawski, Axel Andersson, Christophe Avenel, Andrea Behá?ová, Eduard Chelebian, Anna Klemm, Fredrik Nysjö, Leslie Solorzano, and Carolina Wählby. In Heliyon, volume 9, number 5, Elsevier, 2023. (DOI, fulltext:print).
  249. Integrating Prior Knowledge into Machine Learning Models with Applications in Physics. Philipp Pilar. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2023-002, Uppsala University, 2023. (fulltext).
  250. HarmonicID: Identifying Analog Backscatter Tags with Harmonics. Dilushi Piumwardane and Thiemo Voigt. In , Association for Computing Machinery (ACM), 2023. (Poster abstract in full-text).
  251. Design Suggestions for a Persuasive E-coaching Application: A Study on Informal Caregivers' Needs. Shweta Premanandan, Awais Ahmad, Åsa Cajander, Pär Ågerfalk, and Lisette van Gemert-Pijnen. In Digital Health, volume 9, pp 1-12, Sage Publications, 2023. (DOI, Fulltext, fulltext:print).
  252. Designing a Mobile e-Coaching App for Immigrant Informal Caregivers: Qualitative Study Using the Persuasive System Design Model. Shweta Premanandan, Awais Ahmad, Åsa Cajander, Pär Ågerfalk, Michal Dolezel, and Lisette van Gemert-Pijnen. In JMIR mhealth and uhealth, volume 11, JMIR Publications, 2023. (DOI, Fulltext, fulltext:print).
  253. Designing a Persuasive E-Coaching Application for Informal Caregivers. Shweta Premanandan, Awais Ahmad, Åsa Cajander, and Pär Ågerfalk. In Caring is Sharing: Exploiting the Value in Data for Health and Innovation, volume 302 of Studies in Health Technology and Informatics, pp 922-926, IOS Press, 2023. (DOI, Fulltext, fulltext:print).
  254. Designing and Evaluating IT Applications for Informal Caregivers: Protocol for a Scoping Review. Shweta Premanandan, Awais Ahmad, Åsa Cajander, Pär Ågerfalk, and Lisette van Gemert-Pijnen. In JMIR Research Protocols, volume 12, JMIR Publications, 2023. (DOI, Fulltext, fulltext:print).
  255. Designing communication networks for discrete-time consensus for performance and privacy guarantees. Guilherme Ramos and Sergio Pequito. In Systems & control letters (Print), volume 180, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  256. On the trade-offs between accuracy, privacy, and resilience in average consensus algorithms. Guilherme Ramos, André Teixeira, and Sergio Pequito. In 2023 62nd IEEE Conference on Decision and Control, (CDC), IEEE Conference on Decision and Control, pp 8026-8031, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  257. Computing Functions Over-the-Air Using Digital Modulations. Saeed Razavikia, Jose Mairton Barros da Silva Junior, and Carlo Fischione. In IEEE International Conference on Communications, 28 May – 01 June 2023, Rome, Italy, IEEE Communications Society, 2023.
  258. A Scalable Distributed Dynamical Systems Approach to Compute the Strongly Connected Components and Diameter of Networks. Emily A. Reed, Guilherme Ramos, Paul Bogdan, and Sergio Pequito. In IEEE Transactions on Automatic Control, volume 68, number 5, pp 3099-3106, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI, External link).
  259. Mitigating Epilepsy by Stabilizing Linear Fractional-Order Systems. Emily A. Reed, Guilherme Ramos, Paul Bogdan, and Sérgio Pequito. In 2023 American Control Conference (ACC), Proceedings of the American Control Conference, pp 2228-2233, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  260. The role of long-term power-law memory in controlling large-scale dynamical networks. Emily A. Reed, Guilherme Ramos, Paul Bogdan, and Sergio Pequito. In Scientific Reports, volume 13, number 1, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  261. CARINA: A near-Earth D-type asteroid sample return mission. Tânia M. Ribeiro, Andrea D'Ambrosio, Guillermo J. Dominguez Calabuig, Dimitrios Athanasopoulos, Helena Bates, Clemens Riegler, Oriane Gassot, Selina-Barbara Gerig, Juan L. Gómez-González, Nikolaus Huber, Ragnar Seton, and Tiago E. C. Magalhães. In Acta Astronautica, volume 212, pp 213-225, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  262. Generic Requirements for Inclusive Healthcare Software: Supporting Older Adults and People with Intellectual and Developmental Disability. Ita Richardson, Bilal Ahmad, Shweta Premanandan, Owen Doody, Sarah Beecham, Sofia Ouhbi, Muneef Alshammari, and Åsa Cajander. In 2023 IEEE 31st international requirements engineering conference workshops, REW, IEEE International Requirements Engineering Conference Workshops, pp 423-431, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  263. Applying the Social Robot Expectation Gap Evaluation Framework. Julia Rosen, Erik Billing, and Jessica Lindblom. In Human-Computer Interaction, HCII 2023, Pt III, volume 14013 of Lecture Notes in Computer Science, pp 169-188, Springer, 2023. (DOI).
  264. Dinamiche comunicative e Social Network: una prima analisi empirica di FriendFeed. Luca Rossi, Matteo Magnani, Fabio Celli, Marta Di Lascio, and Barbara Macelli. In Gli effetti sociali del web, Franco Angeli, 2023. (External link).
  265. Modeling and Estimation of Impulsive Biomedical Systems. Håkan Runvik. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2023-001, Department of Information Technology, Uppsala University, 2023. (fulltext).
  266. Student Perceptions of Resource Helpfulness. Seán Russell and Bedour Alshaigy. In ITiCSE 2023: Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education, pp 668-668, Association for Computing Machinery (ACM), 2023. (DOI).
  267. Translate Together: Managed Translation and Peer-Review. Seán Russell, Suad Alaofi, and Bedour Alshaigy. In ITiCSE 2023: Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education, pp 595-596, Association for Computing Machinery (ACM), 2023. (DOI).
  268. EDHOC-Fuzzer: An EDHOC Protocol State Fuzzer. Konstantinos Sagonas and Thanasis Typaldos. In Proceedings of the 32nd ACM Sigsoft International Symposium on Software Testing and Analysis, ISSTA 2023, pp 1495-1498, Association for Computing Machinery (ACM), 2023. (DOI, Fulltext, fulltext:print).
  269. To calibrate or not to calibrate, that is the question. Oscar Samuelsson, Erik U. Lindblom, Anders Björk, and Bengt Carlsson. In Water Research, volume 229, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  270. Detection of Left Ventricular Systolic Dysfunction From Electrocardiographic Images. Veer Sangha, Arash A. Nargesi, Lovedeep S. Dhingra, Akshay Khunte, Bobak J. Mortazavi, Antônio Horta Ribeiro, Evgeniya Banina, Oluwaseun Adeola, Nadish Garg, Cynthia A. Brandt, Edward J. Miller, Antonio Luiz P. Ribeiro, Eric J. Velazquez, Luana Giatti, Sandhi M. Barreto, Murilo Foppa, Neal Yuan, David Ouyang, Harlan M. Krumholz, and Rohan Khera. In Circulation, volume 148, number 9, pp 765-777, Wolters Kluwer, 2023. (DOI).
  271. Motion Sensitivity of Transmit Sequences for Pulse-Echo Mapping of Sound Speed Based on Apparent Speckle Shifts. Dieter Schweizer, Can Deniz Bezek, Monika Farkas, and Orcun Göksel. In , 2023.
  272. Robust Imaging of Speed-of-Sound Using Virtual Source Transmission. Dieter Schweizer, Richard Rau, Can Deniz Bezek, Rahel A. Kubik-Huch, and Orcun Göksel. In IEEE Transactions on Ultrasonics, Ferroelectrics and Frequency Control, pp 1-1, 2023. (DOI).
  273. Managing Group Projects in Undergraduate Computing. Michael James Scott, Bedour Alshaigy, Angela A. Siegel, and Mark Zarb. In ITiCSE 2023: Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education, pp 597-598, Association for Computing Machinery (ACM), 2023. (DOI).
  274. Modularity-Based Selection of the Number of Slices in Temporal Network Clustering. Patrik Seiron, Axel Lindegren, Matteo Magnani, Christian Rohner, Tsuyoshi Murata, and Petter Holme. In Temporal Network Theory, pp 435-447, Springer International Publishing, 2023. (DOI, External link, Electronic full text).
  275. Discrete-time Linear Time-invariant Distributed Minimum Energy Estimator. M. Sibeijn and Sergio Pequito. In IFAC-PapersOnLine, volume 56, number 2, pp 3856-3861, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  276. A whole-body diffusion MRI normal atlas: development, evaluation and initial use. Therese Sjöholm, Sambit Tarai, Filip Malmberg, Robin Strand, Alexander Korenyushkin, Gunilla Enblad, Håkan Ahlström, and Joel Kullberg. In Cancer Imaging, volume 23, number 1, BioMed Central (BMC), 2023. (DOI, Fulltext, fulltext:print).
  277. Edit, Run, Error, Repeat: Learning Analytics to Find Struggling Students in Upper Secondary Programming Classes. Johan Mattias Snider. In ITiCSE 2023: Proceedings of the 2023 Conference on Innovation and Technology in Computer Science Education, pp 629-630, Association for Computing Machinery (ACM), 2023. (DOI).
  278. Silent Stores in the Battery-less Internet of Things: A Good Idea?. Weining Song, Stefanos Kaxiras, Luca Mottola, Thiemo Voigt, and Yuan Yao. In , 2023.
  279. A topographic atlas defines developmental origins of cell heterogeneity in the human embryonic lung. Alexandros Sountoulidis, Sergio Marco Salas, Emelie Braun, Christophe Avenel, Joseph Bergenstråhle, Jonas Theelke, Marco Vicari, Paulo Czarnewski, Andreas Liontos, Xesus Abalo, Zaneta Andrusivová, Reza Mirzazadeh, Michaela Asp, Xiaofei Li, Lijuan Hu, Sanem Sariyar, Anna Martinez Casals, Burcu Ayoglu, Alexandra Firsova, Jakob Michaëlsson, Emma Lundberg, Carolina Wählby, Erik Sundström, Sten Linnarsson, Joakim Lundeberg, Mats Nilsson, and Christos Samakovlis. In Nature Cell Biology, volume 25, number 2, pp 351-365, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  280. Leakage detection in water distribution networks using machine-learning strategies. Diego Perdigão Sousa, Rong Du, Jose Mairton Barros da Silva Junior, Charles Casimiro Cavalcante, and Carlo Fischione. In Water Science and Technology: Water Supply, volume 23, number 3, pp 1115-1126, IWA Publishing, 2023. (DOI, Fulltext).
  281. TSO Games: On the decidability of safety games under the total store order semantics. Stephan Spengler and Sanchari Sil. In Electronic Proceedings in Theoretical Computer Science, volume 390, pp 82-98, EPTCSorg, 2023. (DOI, Fulltext, fulltext:print).
  282. Boundary-optimized summation-by-parts operators for finite difference approximations of second derivatives with variable coefficients. Vidar Stiernström, Martin Almquist, and Ken Mattsson. In Journal of Computational Physics, volume 491, Elsevier, 2023. (DOI, Fulltext, External link, fulltext:print).
  283. Summation-by-Parts Finite Difference Methods for Wave Propagation and Earthquake Modeling. Vidar Stiernström. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2327, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  284. Low-Rank Covariance Matrix Estimation for Factor Analysis in Anisotropic Noise: Application to Array Processing and Portfolio Selection. Peter Stoica and Prabhu Babu. In IEEE Transactions on Signal Processing, volume 71, pp 1699-1711, IEEE, 2023. (DOI).
  285. How do we arrive at constraints?: Articulating limits for computing. Martin Stojanov, Daniel Pargman, Mike Hazas, Robert Comber, and Jorge Luis Zapico. In , PubPub, 2023. (DOI, Fulltext, Electronic full text, External link).
  286. CRITTER: Child-Robot Interaction and Interdisciplinary Research. Rebecca Stower, Mike E. U. Ligthart, Micol Spitale, Natalia Calvo Barajas, and Simone M. de Droog. In HRI '23: Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, pp 926-928, Association for Computing Machinery (ACM), 2023. (DOI).
  287. <em>Electrical dump-loads increasing spill capacity</em>. Adam Strömme Mattsson, Per Norrlund, and Urban Lundin. In Hydropower R&amp;D days 2023., 2023.
  288. Elastic Scheduling for Harmonic Task Systems. Marion Sudvarg, Ao Li, Daisy Wang, Sanjoy Baruah, Jeremy Buhler, Chris Gill, Ning Zhang, and Pontus Ekberg. In 2024 IEEE 30TH REAL-TIME AND EMBEDDED TECHNOLOGY AND APPLICATIONS SYMPOSIUM, RTAS 2024, IEEE Real-Time and Embedded Technology and Applications Symposium, pp 334-347, IEEE COMPUTER SOC, 2023. (DOI).
  289. GOSAFEOPT: Scalable safe exploration for global optimization of dynamical systems. Bhavya Sukhija, Matteo Turchetta, David Lindner, Andreas Krause, Sebastian Trimpe, and Dominik Baumann. In Artificial Intelligence, volume 320, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  290. Ethics as part of mathematical reasoning in sharing. Lovisa Sumpter and David J. T. Sumpter. In Prometeica, number 27, pp 649-657, Universidade Federal de Sao Paulo, 2023. (DOI, Fulltext, fulltext:print).
  291. Dairy farmer and farm staff attitudes and perceptions regarding daily milk allowance to calves. C. Svensson, A. -L Hegrestad, and Jessica Lindblom. In Journal of Dairy Science, volume 106, number 10, pp 7220-7239, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  292. Aspects on errors-in-variables identification: Some ways to mitigate a large bias. Torsten Söderström and Umberto Soverini. In IFAC-PapersOnLine, volume 56, number 2, pp 4019-4024, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  293. Relations Between Prediction Error and Maximum Likelihood Methods in an Error-in-Variables Setting. Extended version with full proofs. Torsten Söderström. Technical report / Department of Information Technology, Uppsala University nr 2023-003, Department of Information Technology, Uppsala University, 2023. (fulltext).
  294. Communicating Awareness: Designing a Framework for Cognitive Human-Agent Interaction for Autonomous Vehicles. Ana Tanevska, Arabinda Ghosh, Katie Winkle, Ginevra Castellano, and Sadegh Soudjani. In , 2023. (List of workshop speakers).
  295. Inclusive HRI II: Equity and Diversity in Design, Application, Methods, and Community. Ana Tanevska, Shruti Chandra, Giulia Barbareschi, Amy Eguchi, Zhao Han, Raj Korpan, Anastasia K. Ostrowski, Giulia Perugia, Sindhu Ravindranath, Katie Seaborn, and Katie Winkle. In HRI '23: Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, pp 956-958, Association for Computing Machinery (ACM), 2023. (DOI).
  296. Reaction Time Analysis of Event-Triggered Processing Chains with Data Refreshing. Yue Tang, Nan Guan, Xu Jiang, Zheng Dong, and Yi Wang. In 2023 60th ACM/IEEE Design Automation Conference (DAC), Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  297. Real-Time Performance Analysis of Processing Systems on ROS 2 Executors. Yue Tang, Nan Guan, Xu Jiang, Xiantong Luo, and Yi Wang. In 2023 IEEE 29th Real-Timea and Embedded Technology and Applications Symposium, RTAS, IEEE Real-Time and Embedded Technology and Applications Symposium, pp 80-92, IEEE, 2023. (DOI).
  298. Heap Size Adjustment with CPU Control. Sanaz Tavakolisomeh, Marina Shimchenko, Erik Osterlund, Rodrigo Bruno, Paulo Ferreira, and Tobias Wrigstad. In PROCEEDINGS OF THE 20TH ACM SIGPLAN INTERNATIONAL CONFERENCE ON MANAGED PROGRAMMING LANGUAGES AND RUNTIMES, MPLR 2023, pp 114-128, ASSOC COMPUTING MACHINERY, 2023. (DOI, Fulltext, fulltext:print).
  299. Simulation of flexural-gravity wave propagation for elastic plates in shallow water using an energy-stable finite difference method with weakly enforced boundary and interface conditions. Nurbek Tazhimbetov, Martin Almquist, Jonatan Werpers, and Eric M. Dunham. In Journal of Computational Physics, volume 493, Elsevier, 2023. (DOI).
  300. Quantifying uncertainties in CERES/MODIS Downwelling radiation fluxes in the global tropical oceans. Venugopal Thandlam, Anna Rutgersson, Hasibur Rahaman, Mounika Yabaku, Venkatramana Kaagita, and Venkatramana Reddy Sakirevupalli. In Ocean-Land-Atmosphere Research, volume 2, American Association for the Advancement of Science (AAAS), 2023. (DOI, Fulltext, fulltext:print).
  301. Residual viscosity stabilized RBF-FD methods for solving nonlinear conservation laws. Igor Tominec and Murtazo Nazarov. In Journal of Scientific Computing, volume 94, Springer, 2023. (DOI, Fulltext, fulltext:print).
  302. Hammering Floating-Point Arithmetic. Olle Torstensson and Tjark Weber. In FRONTIERS OF COMBINING SYSTEMS, FROCOS 2023, volume 14279 of Lecture Notes in Artificial Intelligence, pp 217-235, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  303. Robust Sequential Detection of Non-stealthy Sensor Deception Attacks in an Artificial Pancreas System. Fatih Emre Tosun and André Teixeira. In 2023 62nd IEEE Conference on Decision and Control (CDC), IEEE Conference on Decision and Control, pp 2827-2832, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  304. SimpLex: a lexical text simplification architecture. Ciprian-Octavian Truica, Andrei-Ionut Stan, and Elena-Simona Apostol. In Neural Computing & Applications, volume 35, number 8, pp 6265-6280, Springer Nature, 2023. (DOI, Fulltext).
  305. Towards Advancing Body Maps as Research Tool for Interaction Design. Laia Turmo Vidal, Yinchu Li, Martin Stojanov, Karin B Johansson, Beatrice Tylstedt, and Lina Eklund. In TEI ’23: Proceedings of the Seventeenth International Conference on Tangible, Embedded, and Embodied Interaction (TEI ’23), pp 1-9, Association for Computing Machinery (ACM), 2023. (DOI).
  306. Stabilized cut discontinuous Galerkin methods for advection-reaction problems on surfaces. Tale Bakken Ulfsby, Andre Massing, and Simon Sticko. In Computer Methods in Applied Mechanics and Engineering, volume 413, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  307. Collective Collaboration Mapping’ towards Knowledge Commons. Karin Van Den Drische and Åsa Cajander. In , Oldenburg, 2023.
  308. NTIRE 2023 Image Shadow Removal Challenge Report. Florin -Alexandru Vasluianu, Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, Jens Sjölund, Thomas B. Schön, and Shuchen Xia. In 2023 IEEE/CVF Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), pp 1788-1807, Institute of Electrical and Electronics Engineers (IEEE), Vancover, 2023. (DOI, Full-text of paper).
  309. Users’ Experiences With Online Access to Electronic Health Records in Mental and Somatic Health Care: Cross-Sectional Study. Bo Wang, Eli Kristiansen, Asbjørn Johansen Fagerlund, Paolo Zanaboni, Maria Hägglund, Annika Bärkås, Sari Kujala, Åsa Cajander, Charlotte Blease, Anna Kharko, Isto Huvila, Bridget Kane, and Monika Alise Johansen. In Journal of Medical Internet Research, volume 25, JMIR Publications, 2023. (DOI, Fulltext, fulltext:print).
  310. Robust and Efficient Federated Learning for IoT Security. Han Wang. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2306, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  311. NTIRE 2023 Challenge on Stereo Image Super-Resolution: Methods and Results. Longguang Wang, Ziwei Luo, Fredrik K. Gustafsson, Zheng Zhao, Jens Sjölund, Thomas B. Schön, and Wenlong Zhang. In IEEE Computer Society Conference on Computer Vision and Pattern Recognition Workshops (CVPRW), IEEE Computer Society Conference on Computer Vision and Pattern Recognition workshops : proceedings, pp 1346-1372, Institute of Electrical and Electronics Engineers (IEEE), Vancover, 2023. (DOI).
  312. A Finite Difference-Discontinuous Galerkin Method for the Wave Equation in Second Order Form. Siyang Wang and Gunilla Kreiss. In SIAM Journal on Numerical Analysis, volume 61, number 4, pp 1962-1988, Society for Industrial and Applied Mathematics, 2023. (DOI).
  313. Design and Blocking Analysis of Locking Protocols for Real-Time DAG Tasks Under Federated Scheduling. Yang Wang, Yu Li, Xuemei Peng, Dong Ji, Nan Guan, and Yi Wang. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, volume 42, number 11, pp 3720-3732, IEEE, 2023. (DOI).
  314. Block-MUSIC in blade tip timing: Performance study of block snapshot matrix. Zengkun Wang, Zhi-Bo Yang, Shu-Ming Wu, Shao-Hua Tian, and Xue-Feng Chen. In Mechanical systems and signal processing, volume 198, Elsevier, 2023. (DOI).
  315. Min-Max Probe Placement and Extended Relaxation Estimation Method for Processing Blade Tip Timing Signals. Zengkun Wang, Peter Stoica, Dave Zachariah, Prabhu Babu, and Zhibo Yang. In IEEE Transactions on Instrumentation and Measurement, volume 72, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  316. Iterative solution methods for 3D controlled-source electromagnetic forward modelling of geophysical exploration scenarios. Michael Weiss, Maya Neytcheva, and Thomas Kalscheuer. In Computational Geosciences, volume 27, pp 81-102, Springer Nature, 2023. (DOI, Fulltext, fulltext:print).
  317. A constraint programming model for the scheduling and workspace layout design of a dual-arm multi-tool assembly robot. Johan Wessén, Mats Carlsson, Christian Schulte, Pierre Flener, Federico Pecora, and Mihhail Matskin. In Constraints, volume 28, number 2, pp 71-104, Springer, 2023. (DOI, Fulltext, fulltext:print).
  318. Can Representation Learning for Multimodal Image Registration be Improved by Supervision of Intermediate Layers?. Elisabeth Wetzer, Joakim Lindblad, and Nataša Sladoje. In IbPRIA 2023: Pattern Recognition and Image Analysis, Lecture Notes in Computer Science, pp 261-275, Springer, 2023. (DOI, External link).
  319. Partial dimensional collapse in contrastive learning when using intermediate layers. Elisabeth Wetzer, Joakim Lindblad, and Nataša Sladoje. In , 2023.
  320. Representation Learning and Information Fusion: <em>Applications in Biomedical Image Processing</em>. Elisabeth Wetzer. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2266, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  321. Systems thinking and efficiency under emissions constraints: Addressing rebound effects in digital innovation and policy. Kelly Widdicks, Federica Lucivero, Gabrielle Samuel, Lucas Somavilla Croxatto, Marcia Tavares Smith, Carolyn Ten Holter, Mike Berners-Lee, Gordon S. Blair, Marina Jirotka, Bran Knowles, Steven Sorrell, Miriam Börjesson Rivera, Caroline Cook, Vlad C. Coroama, Timothy J. Foxon, Jeffrey Hardy, Lorenz M. Hilty, Simon Hinterholzer, and Birgit Penzenstadler. In PATTERNS, volume 4, number 2, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  322. Reliable Uncertainty Quantification in Statistical Learning. David Widmann. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2275, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  323. Feedback Path Delay Attacks and Detection. Torbjörn Wigren and André Teixeira. In Proceedings of the 62nd IEEE Conference on Decision and Control (CDC), Proceedings / IEEE Conference on Decision and Control, pp 3864-3871, Institute of Electrical and Electronics Engineers (IEEE), Singapore, 2023. (DOI).
  324. On-line Identification of Delay Attacks in Networked Servo Control. Torbjörn Wigren and André Teixeira. In Prep. IFAC World Congress, pp 1041-1047, IFAC Papers Online, 2023.
  325. On-line Identification of Delay Attacks in Networked Servo Control. Torbjörn Wigren and André Teixeira. In IFAC-PapersOnLine, volume 56, number 2, pp 977-983, Elsevier, 2023. (DOI).
  326. Recursive identification of a nonlinear state space model. Torbjörn Wigren. In International journal of adaptive control and signal processing (Print), volume 37, number 2, pp 447-473, John Wiley & Sons, 2023. (DOI, Fulltext, fulltext:print).
  327. Sequential Monte Carlo: A Unified Review. Adrian G. Wills and Thomas B. Schön. In ANNUAL REVIEW OF CONTROL ROBOTICS AND AUTONOMOUS SYSTEMS, volume 6, pp 159-182, ANNUAL REVIEWS, 2023. (DOI, Fulltext, fulltext:print).
  328. Differing Care Giver and Care Receiver Perceptions of Robot Agency in an In-Home Socially Assistive Robot for Exercise Engagement. Katie Winkle and Laura Moradbakhti. In 2023 32ND IEEE INTERNATIONAL CONFERENCE ON ROBOT AND HUMAN INTERACTIVE COMMUNICATION, RO-MAN, IEEE RO-MAN, pp 607-613, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  329. Feminist Human-Robot Interaction: Disentangling Power, Principles and Practice for Better, More Ethical HRI. Katie Winkle, Donald McMillan, Maria Arnelid, Katherine M. Harrison, Madeline Balaam, Ericka Johnson, and Iolanda Leite. In HRI '23: Proceedings of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, pp 72-82, ACM Digital Library, 2023. (DOI, Fulltext, fulltext:print).
  330. Neural motion planning in dynamic environments. Bernhard Wullt, Per Mattsson, Thomas B. Schön, and Mikael Norrlöf. In IFAC-PapersOnLine, volume 56, number 2, pp 10126-10131, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  331. Design and Identification of Wireless Transmitters for a Low-power and Secure Internet of Things. Wenqing Yan. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 2341, Acta Universitatis Upsaliensis, Uppsala, 2023. (fulltext, preview image).
  332. TunnelLiFi: Bringing LiFi to Commodity Internet of Things Devices. Wenqing Yan, Muhammad Sarmad Mir, Prabal Dutta, Domenico Giustiniano, and Ambuj Varshney. In HotMobile '23: Proceedings of the 24th International Workshop on Mobile Computing Systems and Applications, pp 1-7, ACM Digital Library, New York, NY, USA, 2023. (External link).
  333. Stable subspace dimension reduced MUSIC for blade tip timing. Zhi-Bo Yang, Zeng-Kun Wang, Hao-Qi Li, Shao-Hua Tian, and Xue-Feng Chen. In Journal of Sound and Vibration, volume 545, Elsevier, 2023. (DOI).
  334. Immigrant-critical alternative media in online conversations. Victoria Yantseva, Davide Vega D'Aurelio, and Matteo Magnani. In PLOS ONE, volume 18, number 11, Public Library of Science (PLoS), 2023. (DOI, Fulltext, fulltext:print).
  335. Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core Processors. Yuan Yao. In IEEE Journal on Emerging and Selected Topics in Circuits and Systems, volume 13, number 1, pp 58-72, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  336. SE-CNN: Convolution Neural Network Acceleration via Symbolic Value Prediction. Yuan Yao. In IEEE Journal on Emerging and Selected Topics in Circuits and Systems, volume 13, number 1, pp 73-85, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  337. Automated electrolyte formulation and coin cell assembly for high-throughput lithium-ion battery research. Jackie T. Yik, Leiting Zhang, Jens Sjölund, Xu Hou, Per H. Svensson, Kristina Edström, and Erik J. Berg. In Digital Discovery, volume 2, number 3, pp 799-808, Royal Society of Chemistry, 2023. (DOI, Fulltext, fulltext:print).
  338. Robust Divergence Angle for Inter-satellite Laser Communications under Target Deviation Uncertainty. Zhanwei Yu, Yi Zhao, and Di Yuan. In 2023 IEEE 98TH VEHICULAR TECHNOLOGY CONFERENCE, VTC2023-FALL, IEEE Vehicular Technology Conference Proceedings, IEEE, 2023. (DOI).
  339. Association of lifestyle with deep learning predicted electrocardiographic age. Cuili Zhang, Xiao Miao, Biqi Wang, Robert J. J. Thomas, Antônio Horta Ribeiro, Luisa C. C. Brant, Antonio L. P. Ribeiro, and Honghuang Lin. In Frontiers in Cardiovascular Medicine, volume 10, Frontiers Media S.A., 2023. (DOI, Fulltext, fulltext:print).
  340. An Online Kullback-Leibler Divergence-Based Stealthy Attack Against Cyber-Physical Systems. Qirui Zhang, Kun Liu, André M. H. Teixeira, Yuzhe Li, Senchun Chai, and Yuanqing Xia. In IEEE Transactions on Automatic Control, volume 68, number 6, pp 3672-3679, IEEE, 2023. (DOI).
  341. Aiding reinforcement learning for set point control. Ruoqi Zhang, Per Mattsson, and Torbjörn Wigren. In IFAC-PapersOnLine, volume 56, number 2, pp 2437-2443, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  342. Observer-Feedback-Feedforward Controller Structures in Reinforcement Learning. Ruoqi Zhang, Per Mattsson, and Torbjörn Wigren. In Prep. IFAC World Congress, pp 6807-6912, IFAC Papers Online, 2023.
  343. Observer-Feedback-Feedforward Controller Structures in Reinforcement Learning. Ruoqi Zhang, Per Mattsson, and Torbjörn Wigren. In IFAC-PapersOnLine, volume 56, number 2, pp 6247-6252, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  344. Robust nonlinear set-point control with reinforcement learning. Ruoqi Zhang, Per Mattsson, and Torbjörn Wigren. In 2023 American Control Conference (ACC), Proceedings of the American Control Conference, pp 84-91, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI, Pre-print in arXiv).
  345. Efficient Hierarchical Storage Management Empowered by Reinforcement Learning. Tianru Zhang, Andreas Hellander, and Salman Toor. In IEEE Transactions on Knowledge and Data Engineering, volume 35, pp 5780-5793, IEEE, 2023. (DOI).
  346. Efficient Hierarchical Storage Management Empowered by Reinforcement Learning Extended Abstract. Tianru Zhang, Andreas Hellander, and Salman Toor. In , pp 3869-3870, IEEE, 2023. (DOI).
  347. Federated Machine Learning for Resource Allocation in Multi-domain Fog Ecosystems. Weilin Zhang, Salman Toor, and Mays Al-Naday. In 2023 IEEE 12th International Conference on Cloud Networking, CLOUDNET, IEEE International Conference on Cloud Networking, pp 290-298, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  348. Probabilistic Estimation of Instantaneous Frequencies of Chirp Signals. Zheng Zhao, Simo Särkkä, Jens Sjölund, and Thomas B. Schön. In IEEE Transactions on Signal Processing, volume 71, pp 461-476, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  349. A case study in designing trustworthy interactions: implications for socially assistive robotics. Mengyu Zhong, Marc Fraile, Ginevra Castellano, and Katie Winkle. In Frontiers in Computer Science, volume 5, Frontiers Media S.A., 2023. (DOI, Fulltext, fulltext:print).
  350. Solving inverse scattering problems via reduced-order model embedding procedures. Jörn Zimmerling, Vladimir Druskin, Murthy N Guddati, Elena Cherkaev, and Rob F Remis. In Inverse Problems, volume 40, number 2, Institute of Physics Publishing (IOPP), 2023. (DOI, Fulltext, fulltext:print).
  351. On Merging Feature Engineering and Deep Learning for Diagnosis, Risk Prediction and Age Estimation Based on the 12-Lead ECG. Eran Zvuloni, Jesse Read, Antônio Horta Ribeiro, Antonio Luiz P. Ribeiro, and Joachim A. Behar. In IEEE Transactions on Biomedical Engineering, volume 70, number 7, pp 2227-2236, Institute of Electrical and Electronics Engineers (IEEE), 2023. (DOI).
  352. RegiSTORM: channel registration for multi-color stochastic optical reconstruction microscopy. Oystein ovrebo, Miina Ojansivu, Kimmo Kartasalo, Hanna M. G. Barriga, Petter Ranefall, Margaret N. Holme, and Molly M. Stevens. In BMC Bioinformatics, volume 24, number 1, BioMed Central (BMC), 2023. (DOI, fulltext:print).
  353. Development of an integrated decision support system (IDSS). Vera van Zoest, Edith Ngai, Shashank Shekher Tripathi, and Archit Suryawanshi. In Designing Sustainable and Resilient Cities: Small Interventions for Stronger Urban Food-Water-Energy Management, pp 119-138, Routledge, New York, 2023. (Designing Sustainable and Resilient Cities).
  354. Evaluating the effects of the COVID-19 pandemic on electricity consumption patterns in the residential, public, commercial and industrial sectors in Sweden. Vera van Zoest, Karl Lindberg, Fouad El Gohary, and Cajsa Bartusch. In Energy and AI, volume 14, Elsevier, 2023. (DOI, Fulltext, fulltext:print).
  355. Uppsala: Groundwater management in the neighbourhood of Rosendal. Vera van Zoest, Edith Ngai, Shashank Shekher Tripathi, and Archit Suryawanshi. In Designing Sustainable and Resilient Cities: Small Interventions for Stronger Urban Food-Water-Energy Management, pp 24-25, Routledge, New York, 2023. (Designing Sustainable and Resilient Cities).
  356. INSPIRE: Intensity and Spatial Information-Based Deformable Image Registration. Johan Öfverstedt, Joakim Lindblad, and Nataša Sladoje. In PLOS ONE, volume 18, number 3, Public Library of Science (PLoS), 2023. (DOI, Fulltext, Pre-print i arXiv, fulltext:print).

Updated  2024-03-14 14:45:10 by Pierre Flener.