
Publications
- Selections of publications
UPMARC is financed by the Swedish Research Council and publish with Open access, making research findings free to read and download via the Internet.
UPMARC Publications 2019
-
Multigrid for matrix-free high-order finite element computations on graphics processors
. In ACM Transactions on Parallel Computing, volume 6, number 1, pp 2:1-32, 2019.
-
Exposing inter-process information for efficient PDES of spatial stochastic systems on multicores
. In ACM Transactions on Modeling and Computer Simulation, volume 29, number 2, pp 11:1-25, 2019.
-
Supervised classification methods for flash X-ray single particle diffraction imaging
. In Optics Express, volume 27, pp 3884-3899, 2019.
-
Model Checking of Software Systems under Weak Memory Models
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1745, Acta Universitatis Upsaliensis, Uppsala, 2019.
-
Efficient Memory Modeling During Simulation and Native Execution
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1756, Acta Universitatis Upsaliensis, Uppsala, 2019.
-
SimInf: An R package for data-driven stochastic disease spread simulations
. In Journal of Statistical Software, volume 91, number 12, pp 1-42, 2019.
-
DuctTeip: An efficient programming model for distributed task-based parallel computing
. In Parallel Computing, volume 90, 2019.
UPMARC Publications 2018
-
Optimal Stateless Model Checking under the Release-Acquire Semantics
. In SPLASH OOPSLA 2018, Boston, Nov 4-9, 2018, ACM Digital Library, 2018.
-
Perfect timed communication is hard
. In Formal Modeling and Analysis of Timed Systems, volume 11022 of Lecture Notes in Computer Science, pp 91-107, Springer, 2018.
-
A load-buffer semantics for total store ordering
. In Logical Methods in Computer Science, volume 14, number 1, 2018.
-
Fragment abstraction for concurrent shape analysis
. In Programming Languages and Systems, volume 10801 of Lecture Notes in Computer Science, pp 442-471, Springer, 2018.
-
Replacing store buffers by load buffers in TSO
. In Verification and Evaluation of Computer and Communication Systems, volume 11181 of Lecture Notes in Computer Science, pp 22-28, Springer, 2018.
-
Verification of timed asynchronous programs
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2018, volume 122 of Leibniz International Proceedings in Informatics, pp 8:1-16, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2018.
-
Effective Techniques for Stateless Model Checking
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1602, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Optimal dynamic partial order reduction with observers
. In Tools and Algorithms for the Construction and Analysis of Systems: Part II, volume 10806 of Lecture Notes in Computer Science, pp 229-248, Springer, 2018.
-
Multiscale modelling via split-step methods in neural firing
. In Mathematical and Computer Modelling of Dynamical Systems, volume 24, pp 426-445, 2018.
-
C?: A New Modular Approach to Implementing Efficient and Tunable Collections
. In Proceedings of the 2018 ACM SIGPLAN International Symposium on New Ideas, New Paradigms, and Reflections on Programming and Software (Onward! 2018), pp 57-71, ACM, 2018.
-
Structured Data
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1749, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Bestow and Atomic: Concurrent programming using isolation, delegation and grouping
. In The Journal of logical and algebraic methods in programming, volume 100, pp 130-151, 2018.
-
Capability-Based Type Systems for Concurrency Control
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1611, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Analyzing performance variation of task schedulers with TaskInsight
. In Parallel Computing, volume 75, pp 11-27, 2018.
-
Behind the Scenes: Memory Analysis of Graphical Workloads on Tile-based GPUs
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2018, pp 1-11, IEEE Computer Society, 2018.
-
Understanding Task Parallelism: Providing insight into scheduling, memory, and performance for CPUs and Graphics
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1737, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Pathwise error bounds in multiscale variable splitting methods for spatial stochastic kinetics
. In SIAM Journal on Numerical Analysis, volume 56, pp 469-498, 2018.
-
Mesoscopic modeling of random walk and reactions in crowded media
. In Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, volume 98, pp 033304:1-16, 2018.
-
Towards Bayesian parametrization of national scale epidemics
. In MATHMOD 2018 Extended Abstracts, pp 65-66, ARGESIM Publisher, Vienna, Austria, 2018.
-
Correctness of a concurrent object collector for actor languages
. In Programming Languages and Systems, volume 10801 of Lecture Notes in Computer Science, pp 885-911, Springer, 2018.
-
Safely Abstracting Memory Layouts
. In 20th Workshop on Formal Techniques for Java-like Programs, 2018.
-
A general high order two-dimensional panel method
. In Applied Mathematical Modelling, volume 60, pp 1-17, 2018.
-
A Skiplist-Based Concurrent Priority Queue with Minimal Memory Contention
. Technical report / Department of Information Technology, Uppsala University nr 2018-003, 2018.
-
Fine-grained local dynamic load balancing in PDES
. In Proc. 6th ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 201-212, ACM Press, New York, 2018.
-
Synchronization Techniques in Parallel Discrete Event Simulation
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1634, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Assessing uncertainties in x-ray single-particle three-dimensional reconstruction
. In Physical Review E. Statistical, Nonlinear, and Soft Matter Physics, volume 98, pp 013303:1-12, 2018.
-
Extending SHAPES for SIMD Architectures –: An approach to native support for Struct of Arrays in languages
. In 13th Implementation, Compilation, Optimization of Object-Oriented Languages, Programs and Systems Workshop, 2018.
-
SWOOP: software-hardware co-design for non-speculative, execute-ahead, in-order cores
. In Proceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation, pp 328-343, Association for Computing Machinery (ACM), 2018.
-
Static instruction scheduling for high performance on energy-efficient processors
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2018-001, Uppsala University, 2018.
-
Static instruction scheduling for high performance on limited hardware
. In IEEE Transactions on Computers, volume 67, number 4, pp 513-527, 2018.
-
Spatio-temporal modelling of verotoxigenic <em>Escherichia coli</em> O157 in cattle in Sweden: exploring options for control
. In Veterinary research (Print), volume 49, pp 78:1-13, 2018.
-
Dynamic Adaptations of Synchronization Granularity in Concurrent Data Structures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1684, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Advances in Task-Based Parallel Programming for Distributed Memory Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1621, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Distributed dynamic load balancing for task parallel programming
. 2018.
-
Caches, Transactions and Memories: Models, Coherence and Consistency
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1665, Acta Universitatis Upsaliensis, Uppsala, 2018.
-
Parallel Programming With Arrays in Kappa
. In 5th ACM SIGPLAN International Workshop on Libraries, Languages and Compilers for Array Programming, 2018.
UPMARC Publications 2017
-
Comparing source sets and persistent sets for partial order reduction
. In Models, Algorithms, Logics and Tools: Essays Dedicated to Kim Guldstrand Larsen on the Occasion of His 60th Birthday, volume 10460 of Lecture Notes in Computer Science, pp 516-536, Springer, 2017.
-
Source Sets: A Foundation for Optimal Dynamic Partial Order Reduction
. In Journal of the ACM, volume 64, number 4, Association for Computing Machinery (ACM), 2017.
-
Context-bounded analysis for POWER
. In Tools and Algorithms for the Construction and Analysis of Systems: Part II, volume 10206 of Lecture Notes in Computer Science, pp 56-74, Springer, 2017.
-
Stateless model checking for TSO and PSO
. In Acta Informatica, volume 54, number 8, pp 789-818, 2017.
-
Synthesis of Ada code from graph-based task models
. In Proc. 32nd ACM Symposium on Applied Computing, pp 1467-1472, ACM Press, New York, 2017.
-
Exploring the performance limits of out-of-order commit
. In Proc. 14th Computing Frontiers Conference, pp 211-220, ACM Press, New York, 2017.
-
Testing And Verifying Chain Repair Methods For CORFU Using Stateless Model Checking
. In , volume 10510 of Lecture Notes in Computer Science, pp 227-242, Springer, Cham, 2017.
-
The shared-memory interferences of Erlang/OTP built-ins
. In Proceedings Of The 16Th Acm Sigplan International Workshop On Erlang (Erlang '17), pp 43-54, Association for Computing Machinery (ACM), New York, 2017.
-
On the Upward/Downward Closures of Petri Nets?
. In 42nd International Symposium on Mathematical Foundations of Computer Science (MFCS 2017), volume 83 of Leibniz International Proceedings in Informatics (LIPIcs), pp 49:1-49:14, Dagstuhl, Germany, 2017.
-
Parity Games on Bounded Phase Multi-pushdown Systems
. In Networked Systems: 5th International Conference, NETYS 2017, Marrakech, Morocco, May 17-19, 2017, Proceedings, volume 10299 of Lecture Notes in Computer Science, pp 272-287, Cham, 2017.
-
Verification of Asynchronous Programs with Nested Locks
. In 37th IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science, FSTTCS 2017, December 11-15, 2017, Kanpur, India, volume 93 of Leibniz International Proceedings in Informatics (LIPIcs), pp 11:1-11:14, Dagstuhl, Germany, 2017.
-
Data Multi-Pushdown Automata
. In The 28th International Conference on Concurrency Theory, CONCUR 2017, September 5-8, 2017, Berlin, Germany, volume 85 of Leibniz International Proceedings in Informatics (LIPIcs), pp 38:1-38:17, Dagstuhl, Germany, 2017.
-
Parallelism in Event-Based Computations with Applications in Biology
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1586, Acta Universitatis Upsaliensis, Uppsala, 2017.
-
Adaptive cache warming for faster simulations
. In Proc. 9th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, ACM Press, New York, 2017.
-
Transcending hardware limits with software out-of-order processing
. In IEEE Computer Architecture Letters, volume 16, number 2, pp 162-165, 2017.
-
Relaxed Linear References for Lock-free Data Structures
. In , pp 47:1-47:31, 2017.
-
Analyzing Graphics Workloads on Tile-based GPUs
. In Proc. 20th International Symposium on Workload Characterization, pp 108-109, IEEE, 2017.
-
Exploring scheduling effects on task performance with TaskInsight
. In Supercomputing frontiers and innovations, volume 4, number 3, pp 91-98, 2017.
-
How to make tasks faster: Revealing the complex interactions of tasks in the memory system
. In Proc. Companion 8th ACM International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, pp 1-3, ACM Press, New York, 2017.
-
Modeling the interactions between tasks and the memory system
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2017-002, Uppsala University, 2017.
-
TaskInsight: Understanding task schedules effects on memory and performance
. In Proc. 8th International Workshop on Programming Models and Applications for Multicores and Manycores, pp 11-20, ACM Press, New York, 2017.
-
Understanding the interplay between task scheduling, memory and performance
. In Proc. Companion 8th ACM International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, pp 21-23, ACM Press, New York, 2017.
-
A dedicated private-shared cache design for scalable multiprocessors
. In Concurrency and Computation, volume 29, number 2, 2017.
-
Orca: GC and Type System Co-design for Actor Languages
. In Proceedings of the ACM on Programming Languages, volume 1, number OOPSLA, pp 1-28, ACM, 2017.
-
Advances Towards Data-Race-Free Cache Coherence Through Data Classification
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1521, Acta Universitatis Upsaliensis, Uppsala, 2017.
-
You can have it all: abstraction and good cache performance
. In Onward! 2017: Proceedings of the 2017 ACM SIGPLAN International Symposium on New Ideas, New Paradigms, and Reflections on Programming and Software, pp 148-167, Association for Computing Machinery (ACM), 2017.
-
Automatic detection of extended data-race-free regions
. In Proc. 15th International Symposium on Code Generation and Optimization, pp 14-26, IEEE Press, Piscataway, NJ, 2017.
-
Stateless model checking of the Linux kernel's hierarchical read-copy-update (tree RCU)
. In Proc. 24th ACM SIGSOFT International SPIN Symposium on Model Checking of Software, pp 172-181, ACM Press, New York, 2017.
-
Exposing inter-process information for efficient parallel discrete event simulation of spatial stochastic systems
. In Proc. 5th ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 53-64, ACM Press, New York, 2017.
-
Finite Element Computations on Multicore and Graphics Processors
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1512, Acta Universitatis Upsaliensis, Uppsala, 2017.
-
Matrix-free finite-element computations on graphics processors with adaptively refined unstructured meshes
. In Proc. 25th High Performance Computing Symposium, pp 1-12, The Society for Modeling and Simulation International, San Diego, CA, 2017.
-
Multigrid for matrix-free finite element computations on graphics processors
. Technical report / Department of Information Technology, Uppsala University nr 2017-006, 2017.
-
An executable semantics for synchronous task graphs: From SDRT to Ada
. In Reliable Software Technologies — Ada-Europe 2017, volume 10300 of Lecture Notes in Computer Science, pp 137-152, Springer, 2017.
-
Refinement of workload models for engine controllers by state space partitioning
. In 29th Euromicro Conference on Real-Time Systems: ECRTS 2017, volume 76 of Leibniz International Proceedings in Informatics (LIPIcs), pp 11:1-22, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2017.
-
Verification of networks of communicating processes: Reachability problems and decidability issues
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1605, Acta Universitatis Upsaliensis, Uppsala, 2017.
-
Non-speculative load-load reordering in TSO
. In Proc. 44th International Symposium on Computer Architecture, pp 187-200, ACM Press, New York, 2017.
-
A split cache hierarchy for enabling data-oriented optimizations
. In Proc. 23rd International Symposium on High Performance Computer Architecture, pp 133-144, IEEE Computer Society, 2017.
-
Clairvoyance: Look-ahead compile-time scheduling
. In Proc. 15th International Symposium on Code Generation and Optimization, pp 171-184, IEEE Press, Piscataway, NJ, 2017.
-
Scaling Reliably: Improving the scalability of the Erlang distributed actor platform
. In ACM Transactions on Programming Languages and Systems, volume 39, number 4, 2017.
-
Decoupled Access-Execute on ARM big.LITTLE
. In Proc. 5th Workshop on High Performance Energy Efficient Embedded Systems, 2017.
-
Type-assisted automatic garbage collection for lock-free data structures
. In SIGPLAN notices, volume 52, number 9, pp 14-24, ACM Press, New York, 2017.
-
An approximation framework for solvers and decision procedures
. In Journal of automated reasoning, volume 58, number 1, pp 127-147, 2017.
UPMARC Publications 2016
-
Fencing programs with self-invalidation and self-downgrade
. In Formal Techniques for Distributed Objects, Components, and Systems, volume 9688 of Lecture Notes in Computer Science, pp 19-35, Springer, 2016.
-
Parameterized verification through view abstraction
. In International Journal on Software Tools for Technology Transfer, volume 18, number 5, pp 495-516, 2016.
-
Stateless model checking for POWER
. In Computer Aided Verification: Part II, volume 9780 of Lecture Notes in Computer Science, pp 134-156, Springer, 2016.
-
The benefits of duality in verifying concurrent programs under TSO
. In 27th International Conference on Concurrency Theory: CONCUR 2016, volume 59 of Leibniz International Proceedings in Informatics (LIPIcs), pp 5:1-15, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2016.
-
Verification of heap manipulating programs with ordered data by extended forest automata
. In Acta Informatica, volume 53, number 4, pp 357-385, 2016.
-
Improving performance by monitoring while maintaining worst-case guarantees
. In Proc. 19th Conference on Design, Automation and Test in Europe, pp 257-260, IEEE, Piscataway, NJ, 2016.
-
Acceleration in Multi-PushDown Systems
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 9636 of Lecture Notes in Computer Science, pp 698-714, Springer, 2016.
-
New techniques for handling quantifiers in Boolean and first-order logic
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2016-012, Uppsala University, 2016.
-
Fast event-based epidemiological simulations on national scales
. In The international journal of high performance computing applications, volume 30, pp 438-453, 2016.
-
Mesoscopic modeling of stochastic reaction–diffusion kinetics in the subdiffusive regime
. In Multiscale Modeling & simulation, volume 14, pp 668-707, 2016.
-
A Sorted Semantic Framework for Applied Process Calculi
. In Logical Methods in Computer Science, volume 12, number 1, pp 1-49, 2016.
-
Protocol, mobility and adversary models for the verification of security
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2016-007, Uppsala University, 2016.
-
Active learning for extended finite state machines
. In Formal Aspects of Computing, volume 28, number 2, pp 233-263, 2016.
-
Kappa: Insights, Current Status and Future Work
. In , 2016.
-
LOLCAT: Relaxed Linear References for Lock-free Programming
. Technical report / Department of Information Technology, Uppsala University nr 2016-013, 2016.
-
Reference Capabilities for Concurrency Control
. In ECOOP 2016 — Object-Oriented Programming, 2016.
-
Reference Capabilities for Trait Based Reuse and Concurrency Control
. Technical report / Department of Information Technology, Uppsala University nr 2016-007, 2016.
-
Types for CAS: Relaxed Linearity with Ownership Transfer
. In , 2016.
-
Characterizing Task Scheduling Performance Based on Data Reuse
. In Proc. 9th Nordic Workshop on Multi-Core Computing, 2016.
-
Formalizing data locality in task parallel applications
. In Algorithms and Architectures for Parallel Processing, volume 10049 of Lecture Notes in Computer Science, pp 43-61, Springer, 2016.
-
Spatial and Temporal Cache Sharing Analysis in Tasks
. In , Timisoara, Romania, 2016.
-
Vats: A safe, reactive storage abstraction
. In Theory and Practice of Formal Methods: Essays Dedicated to Frank de Boer on the Occasion of His 60th Birthday, volume 9660 of Lecture Notes in Computer Science, pp 140-154, Springer, 2016.
-
Fast Matlab compatible sparse assembly on multicore computers
. In Parallel Computing, volume 56, pp 1-17, 2016.
-
Preconditioned Metropolis sampling as a strategy to improve efficiency in posterior exploration
. In , volume 49:26 of IFAC-PapersOnLine, pp 89-94, 2016.
-
ParT: An asynchronous parallel abstraction for speculative pipeline computations
. In Coordination Models and Languages, volume 9686 of Lecture Notes in Computer Science, pp 101-120, Springer, 2016.
-
Towards Enabling Low-Level Memory Optimisations at the High-Level with Ownership Annotations
. In , 2016.
-
Transforming real-time task graphs to improve schedulability
. In Proc. 22nd International Conference on Embedded and Real-Time Computing Systems and Applications, pp 29-38, IEEE Computer Society, 2016.
-
Evaluation of the deflated preconditioned CG method to solve bubbly and porous media flow problems on GPU and CPU
. In International Journal for Numerical Methods in Fluids, volume 80, pp 666-683, 2016.
-
Languages, Logics, Types and Tools for Concurrent System Modelling
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1392, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Partitioning GPUs for Improved Scalability
. In Proc. 28th International Symposium on Computer Architecture and High Performance Computing, International Symposium on Computer Architecture and High Performance Computing, pp 42-49, IEEE Computer Society, 2016.
-
Optimizing Performance in Highly Utilized Multicores with Intelligent Prefetching
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1335, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Building Heterogeneous Unified Virtual Memories (UVMs) without the Overhead
. In ACM Transactions on Architecture and Code Optimization (TACO), volume 13, number 1, 2016.
-
Efficient Execution Paradigms for Parallel Heterogeneous Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1405, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Multiversioned decoupled access-execute: The key to energy-efficient compilation of general-purpose programs
. In Proc. 25th International Conference on Compiler Construction, pp 121-131, ACM Press, New York, 2016.
-
Verification of Software under Relaxed Memory
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1387, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Guiding Craig interpolation with domain-specific abstractions
. In Acta Informatica, volume 53, number 4, pp 387-424, 2016.
-
Liveness of randomised parameterised systems under arbitrary schedulers
. In Computer Aided Verification: Part II, volume 9780 of Lecture Notes in Computer Science, pp 112-133, Springer, 2016.
-
Regular Symmetry Patterns
. In Verification, Model Checking, and Abstract Interpretation, volume 9583 of Lecture Notes in Computer Science, pp 455-475, Springer Berlin/Heidelberg, 2016.
-
A survey on static cache analysis for real-time systems
. In Leibniz Transactions on Embedded Systems, volume 3, number 1, pp 05:1-48, 2016.
-
Analysis and design of jump coefficients in discrete stochastic diffusion models
. In SIAM Journal on Scientific Computing, volume 38, pp A55-A83, 2016.
-
Modeling and analysis of data flow graphs using the digraph real-time task model
. In Reliable Software Technologies — Ada-Europe 2016, volume 9695 of Lecture Notes in Computer Science, pp 15-29, Springer, 2016.
-
Schedulability analysis of synchronous digraph real-time tasks
. In Proc. 28th Euromicro Conference on Real-Time Systems, pp 176-186, IEEE Computer Society, 2016.
-
Feature Nets: Behavioural modelling of software product lines
. In Software and Systems Modeling, volume 15, number 4, pp 1181-1206, 2016.
-
Performance Modeling of Multi-core Systems: Caches and Locks
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1336, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
A hybrid static–dynamic classification for dual-consistency cache coherence
. In IEEE Transactions on Parallel and Distributed Systems, volume 27, number 11, pp 3101-3115, 2016.
-
Characterization of simulation by probabilistic testing
. In Theory and Practice of Formal Methods, volume 9660 of Lecture Notes in Computer Science, pp 360-372, Springer, 2016.
-
Splash-3: A properly synchronized benchmark suite for contemporary research
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2016, pp 101-111, IEEE Computer Society, 2016.
-
Redesigning a tagless access buffer to require minimal ISA changes
. In Proc. 19th International Conference on Compilers, Architectures and Synthesis for Embedded Systems, 2016.
-
Data placement across the cache hierarchy: Minimizing data movement with reuse-aware placement
. In Proc. 34th International Conference on Computer Design, Proceedings IEEE International Conference on Computer Design, pp 117-124, IEEE, Piscataway, NJ, 2016.
-
Hiding and Reducing Memory Latency: Energy-Efficient Pipeline and Memory System Techniques
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1450, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Improving Energy-Efficiency of Multicores using First-Order Modeling
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1404, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Software Out-of-Order Execution for In-Order Architectures
. In Proc. 25th International Conference on Parallel Architectures and Compilation Techniques, pp 458-458, ACM Press, New York, 2016.
-
Profiling-Assisted Decoupled Access-Execute
. In Proc. 4th International Workshop on High Performance Energy Efficient Embedded Systems, 2016.
-
Modal Logics for Nominal Transition Systems
. In Archive of Formal Proofs, 2016.
-
Data-driven network modelling of disease transmission using complete population movement data: spread of VTEC O157 in Swedish cattle
. In Veterinary research (Print), volume 47, pp 81:1-17, 2016.
-
DuctTeip: A task-based parallel programming framework for distributed memory architectures
. Technical report / Department of Information Technology, Uppsala University nr 2016-010, 2016.
-
Approximations and abstractions for reasoning about machine arithmetic
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2016-010, Uppsala University, 2016.
-
Deciding bit-vector formulas with mcSAT
. In Theory and Applications of Satisfiability Testing: SAT 2016, volume 9710 of Lecture Notes in Computer Science, pp 249-266, Springer, 2016.
-
Culling Concurrency Theory: Reusable and trustworthy meta-theory, proof techniques and separation results
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1397, Acta Universitatis Upsaliensis, Uppsala, 2016.
-
Language Constructs for Safe Parallel Programming on Multi-Cores
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1319, Acta Universitatis Upsaliensis, Uppsala, 2016.
UPMARC Publications 2015
-
Generating models of infinite-state communication protocols using regular inference with abstraction
. In Formal methods in system design, volume 46, number 1, pp 1-41, 2015.
-
MPass: An efficient tool for the analysis of message-passing programs
. In Formal Aspects of Component Software, volume 8997 of Lecture Notes in Computer Science, pp 198-206, Springer, 2015.
-
Norn: An SMT solver for string constraints
. In Computer Aided Verification: Part I, volume 9206 of Lecture Notes in Computer Science, pp 462-469, Springer, 2015.
-
Precise and sound automatic fence insertion procedure under PSO
. In Networked Systems: NETYS 2015, volume 9466 of Lecture Notes in Computer Science, pp 32-47, Springer, 2015.
-
Stateless model checking for TSO and PSO
. In Tools and Algorithms for the Construction and Analysis of Systems: TACAS 2015, volume 9035 of Lecture Notes in Computer Science, pp 353-367, Springer Berlin/Heidelberg, 2015.
-
The Best of Both Worlds: Trading efficiency and optimality in fence insertion for TSO
. In Programming Languages and Systems: ESOP 2015, volume 9032 of Lecture Notes in Computer Science, pp 308-332, Springer Berlin/Heidelberg, 2015.
-
Verification of Cache Coherence Protocols wrt. Trace Filters
. In Proc. 15th Conference on Formal Methods in Computer-Aided Design, pp 9-16, IEEE, Piscataway, NJ, 2015.
-
Verification of buffered dynamic register automata
. In Networked Systems: NETYS 2015, volume 9466 of Lecture Notes in Computer Science, pp 15-31, Springer, 2015.
-
Self-adaptive multiprecision preconditioners on multicore and manycore architectures
. In High Performance Computing for Computational Science – VECPAR 2014, volume 8969 of Lecture Notes in Computer Science, pp 115-123, Springer, 2015.
-
Efficient inter-process synchronization for parallel discrete event simulation on multicores
. In Proc. 3rd ACM SIGSIM Conference on Principles of Advanced Discrete Simulation, pp 183-194, ACM Press, New York, 2015.
-
Parallelism and efficiency in discrete-event simulation
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2015-004, Uppsala University, 2015.
-
Sensitivity estimation and inverse problems in spatial stochastic models of chemical kinetics
. In Numerical Mathematics and Advanced Applications: ENUMATH 2013, volume 103 of Lecture Notes in Computational Science and Engineering, pp 519-527, Springer, 2015.
-
Broadcast psi-calculi with an application to wireless protocols
. In Software and Systems Modeling, volume 14, number 1, pp 201-216, Springer, 2015.
-
The Psi-Calculi Workbench: A Generic Tool for Applied Process Calculi
. In ACM Transactions on Embedded Computing Systems, volume 14, number 1, 2015.
-
Learning Component Behavior from Tests: Theory and Algorithms for Automata with Data
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1311, Acta Universitatis Upsaliensis, Uppsala, 2015.
-
Refined Ownership: Fine-grained controlled internal sharing
. In Formal Methods for Multicore Programming, volume 9104 of Lecture Notes in Computer Science, pp 179-210, 2015.
-
Abstract Delta Modelling
. In Mathematical Structures in Computer Science, volume 25, number 3, pp 482-527, Cambridge University Press, 2015.
-
Refraction: Low-cost management of reflective meta-data in pervasive component-based applications
. In Proc. 18th International ACM SIGSOFT Symposium on Component-Based Software Engineering, pp 27-36, ACM Press, New York, 2015.
-
An optimal resource sharing protocol for generalized multiframe tasks
. In The Journal of logical and algebraic methods in programming, volume 84, number 1, pp 92-105, 2015.
-
Models and Complexity Results in Real-Time Scheduling Theory
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1324, Acta Universitatis Upsaliensis, Uppsala, 2015.
-
Uniprocessor feasibility of sporadic tasks remains coNP-complete under bounded utilization
. In Proc. 36th Real-Time Systems Symposium, pp 87-95, IEEE Computer Society, 2015.
-
Uniprocessor feasibility of sporadic tasks with constrained deadlines is strongly coNP-complete
. In Proc. 27th Euromicro Conference on Real-Time Systems, pp 281-286, IEEE, Piscataway, NJ, 2015.
-
Machine learning for ultrafast X-ray diffraction patterns on large-scale GPU clusters
. In The international journal of high performance computing applications, volume 29, pp 233-243, 2015.
-
Strong convergence for split-step methods in stochastic jump kinetics
. In SIAM Journal on Numerical Analysis, volume 53, pp 2655-2676, 2015.
-
Scalable timing analysis with refinement
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 9035 of Lecture Notes in Computer Science, pp 3-18, Springer, 2015.
-
Few is Just Enough!: Small Model Theorem for Parameterized Verification and Shape Analysis
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1302, Acta Universitatis Upsaliensis, Uppsala, 2015.
-
A secure compiler for ML modules
. In Programming Languages and Systems: APLAS 2015, volume 9458 of Lecture Notes in Computer Science, pp 29-48, Springer, 2015.
-
Techniques for finite element methods on modern processors
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2015-001, Uppsala University, 2015.
-
Stochastic focusing coupled with negative feedback enables robust regulation in biochemical reaction networks
. In Journal of the Royal Society Interface, volume 12, number 113, pp 20150831:1-10, 2015.
-
A Modeling Framework for Reuse Distance-based Estimation of Cache Performance
. In Performance Analysis of Systems and Software (ISPASS), 2015 IEEE International Symposium on, pp 62-71, IEEE, 2015.
-
Modal Logics for Nominal Transition Systems
. In 26th International Conference on Concurrency Theory: CONCUR 2015, volume 42 of Leibniz International Proceedings in Informatics (LIPIcs), pp 198-211, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2015.
-
Secure compilation to protected module architectures
. In ACM Transactions on Programming Languages and Systems, volume 37, number 2, 2015.
-
Cost-effective speculative scheduling in high performance processors
. In Proc. 42nd International Symposium on Computer Architecture, pp 247-259, ACM Press, New York, 2015.
-
A dual-consistency cache coherence protocol
. In Proc. 29th International Parallel and Distributed Processing Symposium, pp 1119-1128, IEEE Computer Society, Los Alamitos, CA, 2015.
-
On recursion-free Horn clauses and Craig interpolation
. In Formal methods in system design, volume 47, number 1, pp 1-25, 2015.
-
Contention adapting search trees
. In Proc. 14th International Symposium on Parallel and Distributed Computing, pp 215-224, IEEE conference proceedings, 2015.
-
Full speed ahead: Detailed architectural simulation at near-native speed
. In Proc. 18th International Symposium on Workload Characterization, pp 183-192, IEEE Computer Society, 2015.
-
Long Term Parking (LTP): Criticality-aware Resource Allocation in OOO Processors
. In Proc. 48th International Symposium on Microarchitecture, pp 334-346, 2015.
-
A scalable RBF–FD method for atmospheric flow
. In Journal of Computational Physics, volume 298, pp 406-422, 2015.
-
Resource-aware task scheduling
. In ACM Transactions on Embedded Computing Systems, volume 14, number 1, pp 5:1-25, 2015.
-
SuperGlue: A shared memory framework using data versioning for dependency-aware task-based parallelization
. In SIAM Journal on Scientific Computing, volume 37, pp C617-C642, 2015.
-
Accelerating COBAYA3 on multi-core CPU and GPU systems using PARALUTION
. In Annals of Nuclear Energy, volume 82, pp 252-259, 2015.
-
Editorial preface for the JLAMP Special Issue on Formal Methods for Software Product Line Engineering
. In The Journal of logical and algebraic methods in programming, volume 85, number 1, pp 123-124, 2015.
-
Measuring Polymorphism in Python Programs
. In DLS 2015: Proceedings of the 11th Symposium on Dynamic Languages, volume 51:2 2016 of ACM SIGPLAN Notices, pp 114-128, ACM Press, New York, 2015.
UPMARC Publications 2014
-
Optimal dynamic partial order reduction
. In Proc. 41st ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, volume 49:1 of ACM SIGPLAN NOTICES, pp 373-384, ACM Press, New York, 2014.
-
Block me if you can!: Context-sensitive parameterized verification
. In Static Analysis: SAS 2014, volume 8723 of Lecture Notes in Computer Science, pp 1-17, Springer, 2014.
-
Budget-bounded model-checking pushdown systems
. In Formal methods in system design, volume 45, number 2, pp 273-301, 2014.
-
Computing optimal reachability costs in priced dense-timed pushdown automata
. In Language and Automata Theory and Applications: LATA 2014, volume 8370 of Lecture Notes in Computer Science, pp 62-75, Springer Berlin/Heidelberg, 2014.
-
Infinite-state energy games
. In Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), CSL-LICS '14, Vienna, Austria, July 14 - 18, 2014, ACM Press, New York, 2014.
-
String Constraints for Verification
. In Computer Aided Verification - 26th International Conference, {CAV} 2014, Held as Part of the Vienna Summer of Logic, {VSL} 2014, Vienna, Austria, July 18-22, 2014. Proceedings, pp 150-166, Springer, 2014.
-
Verification of Dynamic Register Automata
. In Leibniz International Proceedings in Informatics: IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science (FSTTCS 2014), 2014.
-
Zenoness for Timed Pushdown Automata
. In Proceedings 15th International Workshop on Verification of Infinite-State Systems, {INFINITY} 2013, Hanoi, Vietnam, 14th October 2013., pp -47, 2014.
-
The Gradual Verifier
. In NASA Formal Methods: 6th International Symposium, NFM 2014, Houston, TX, USA, April 29 – May 1, 2014. Proceedings, volume 8430 of Lecture Notes in Computer Science, pp 313-327, Switzerland, 2014.
-
Context-Bounded Analysis of TSO Systems
. In From Programs to Systems: The Systems perspective in Computing, volume 8415 of Lecture Notes in Computer Science, pp 21-38, Springer, 2014.
-
On Bounded Reachability Analysis of Shared Memory Systems
. In {IARCS} Annual Conference on Foundations of Software Technology and Theoretical Computer Science, {FSTTCS} 2014, December 15-17, 2014, New Delhi, India, 2014.
-
Building timing predictable embedded systems
. In ACM Transactions on Embedded Computing Systems, volume 13, number 4, pp 82:1-37, 2014.
-
A Sorted Semantic Framework for Applied Process Calculi (extended abstract)
. In Trustworthy Global Computing: TGC 2013, volume 8358 of Lecture Notes in Computer Science, pp 103-118, Springer Berlin/Heidelberg, 2014.
-
Learning Extended Finite State Machines
. In Software Engineering and Formal Methods. 12th International Conference, SEFM 2014, volume 8702 of Lecture Notes in Computer Science, pp 250-264, Springer International Publishing, 2014.
-
Compositional assume–guarantee reasoning for input/output component theories
. In Science of Computer Programming, volume 91, pp 115-137, 2014.
-
Software-controlled processor stalls for time and energy efficient data locality optimization
. In Proc. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp 199-206, IEEE, Piscataway, NJ, 2014.
-
CPU and GPU performance of large scale numerical simulations in Geophysics
. In Euro-Par 2014: Parallel Processing Workshops, Part I, volume 8805 of Lecture Notes in Computer Science, pp 12-23, Springer, 2014.
-
Parallel performance study of block-preconditioned iterative methods on multicore computer systems
. Technical report / Department of Information Technology, Uppsala University nr 2014-007, 2014.
-
Bounding and shaping the demand of generalized mixed-criticality sporadic task systems
. In Real-time systems, volume 50, number 1, pp 48-86, 2014.
-
A software based profiling method for obtaining speedup stacks on commodity multi-cores
. In 2014 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS): ISPASS 2014, IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 148-157, IEEE Computer Society, 2014.
-
Approximations for the moments of nonstationary and state dependent birth–death queues
. In Computing Research Repository, number 1406.6164, 2014.
-
On the stability of stochastic jump kinetics
. In Applied Mathematics, volume 5, pp 3217-3239, 2014.
-
X-ray laser imaging of biomolecules using multiple GPUs
. In Parallel Processing and Applied Mathematics: Part I, volume 8384 of Lecture Notes in Computer Science, pp 480-489, Springer-Verlag, Berlin, 2014.
-
Automating regression verification
. In ASE '14 Proceedings of the 29th ACM/IEEE international conference on Automated software engineering, pp 349-360, ACM Press, New York, 2014.
-
Adaptive Solvers for High-Dimensional PDE Problems on Clusters of Multicore Processors
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1199, Acta Universitatis Upsaliensis, Uppsala, 2014.
-
Parallel data structures and algorithms for high-dimensional structured adaptive mesh refinement
. Technical report / Department of Information Technology, Uppsala University nr 2014-020, 2014.
-
Partitioned mixed-criticality scheduling on multiprocessor platforms
. In Proc. 17th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2014.
-
General and Efficient Response Time Analysis for EDF Scheduling
. In Proc. 17th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2014.
-
Advancing concurrent system verification: Type based approach and tools
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2014-007, Uppsala University, 2014.
-
Horn Clauses for Communicating Timed Systems
. In Proceedings First Workshop on Horn Clauses for Verification and Synthesis, volume 169 of Electronic Proceedings in Theoretical Computer Science, pp 39-52, 2014.
-
Dynamic autotuning of adaptive fast multipole methods on hybrid multicore CPU and GPU systems
. In SIAM Journal on Scientific Computing, volume 36, pp C376-C399, 2014.
-
Dynamic and speculative polyhedral parallelization using compiler-generated skeletons
. In International journal of parallel programming, volume 42, number 4, pp 529-545, 2014.
-
Fix the code. Don't tweak the hardware: A new compiler approach to Voltage–Frequency scaling
. In Proc. 12th International Symposium on Code Generation and Optimization, pp 262-272, ACM Press, New York, 2014.
-
A case for resource efficient prefetching in multicores
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2014, pp 137-138, IEEE Computer Society, 2014.
-
Resource conscious prefetching for irregular applications in multicores
. In Proc. International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XIV), pp 34-43, IEEE, Piscataway, NJ, 2014.
-
Brief announcement: queue delegation locking
. In Proc. 26th ACM Symposium on Parallelism in Algorithms and Architectures, pp 70-72, ACM Press, New York, 2014.
-
Delegation locking libraries for improved performance of multithreaded programs
. In Euro-Par 2014: Parallel Processing, volume 8632 of Lecture Notes in Computer Science, pp 572-583, Springer Berlin/Heidelberg, 2014.
-
Matrix-free finite-element operator application on graphics processing units
. In Euro-Par 2014: Parallel Processing Workshops, Part II, volume 8806 of Lecture Notes in Computer Science, pp 450-461, Springer, 2014.
-
On the impact of the heterogeneous multicore and many-core platforms on iterative solution methods and preconditioning techniques
. In High-Performance Computing on Complex Environments, pp 13-32, Wiley-Blackwell, Hoboken, NJ, 2014.
-
Extending statistical cache models to support detailed pipeline simulators
. In 2014 IEEE International Symposium On Performance Analysis Of Systems And Software (Ispass), IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 86-95, IEEE Computer Society, 2014.
-
Modeling cache coherence misses on multicores
. In 2014 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS), IEEE International Symposium on Performance Analysis of Systems and Software-ISPASS, pp 96-105, IEEE, 2014.
-
Higher-order psi-calculi
. In Mathematical Structures in Computer Science, volume 24, number 2, Cambridge University Press, 2014.
-
Fully abstract trace semantics for low-level isolation mechanisms
. In Symposium on Applied Computing, SAC 2014., pp 1562-1569, ACM Press, 2014.
-
More scalable ordered set for ETS using adaptation
. In Proc. 13th ACM SIGPLAN Workshop on Erlang, pp 3-11, ACM Press, New York, 2014.
-
Full Speed Ahead: Detailed Architectural Simulation at Near-Native Speed
. Technical report / Department of Information Technology, Uppsala University nr 2014-005, 2014.
-
Understanding Multicore Performance: Efficient Memory System Modeling and Simulation
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1136, Acta Universitatis Upsaliensis, Uppsala, 2014.
-
CPS2: a Contextual Privacy Framework for Social Software
. In 10th International Conference on Security and Privacy in Communication Networks (SECURECOMM2014), Lecture Notes of the Institute for Computer Sciences Social Informatics and Telecommunications Engineering, pp 25-32, 2014.
-
The Direct-to-Data (D2D) Cache: Navigating the cache hierarchy with a single lookup
. In Proc. 41st International Symposium on Computer Architecture, pp 133-144, IEEE Press, Piscataway, NJ, 2014.
-
Real-Time Workload Models: Expressiveness vs. Analysis Efficiency
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 103, Acta Universitatis Upsaliensis, Uppsala, 2014.
-
Speculative program parallelization with scalable and decentralized runtime verification
. In Runtime Verification, volume 8734 of Lecture Notes in Computer Science, pp 124-139, Springer Berlin/Heidelberg, 2014.
-
A task parallel implementation of an RBF-generated finite difference method for the shallow water equations on the sphere
. Technical report / Department of Information Technology, Uppsala University nr 2014-011, 2014.
-
Scientific Computing on Multicore Architectures
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1139, Acta Universitatis Upsaliensis, Uppsala, 2014.
-
SuperGlue: A shared memory framework using data versioning for dependency-aware task-based parallelization
. Technical report / Department of Information Technology, Uppsala University nr 2014-010, 2014.
-
Approximations for Model Construction
. In Automated Reasoning, volume 8562 of Lecture Notes in Computer Science, pp 344-359, Springer, 2014.
-
Scaling Future Software: The Manycore Challenge
. 2014.
UPMARC Publications 2013
-
All for the price of few: (Parameterized verification through view abstraction)
. In Verification, Model Checking, and Abstract Interpretation, volume 7737 of Lecture Notes in Computer Science, pp 476-495, Springer Berlin/Heidelberg, 2013.
-
An Integrated Specification and Verification Technique for Highly Concurrent Data Structures
. In Tools and Algorithms for the Construction and Analysis of Systems, 2013.
-
Analysis of message passing programs using SMT-solvers
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 272-286, Springer Berlin/Heidelberg, 2013.
-
MEMORAX, a Precise and Sound Tool for Automatic Fence Insertion under TSO
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 7795 of Lecture Notes in Computer Science, pp 530-536, Springer Berlin/Heidelberg, 2013.
-
Monotonic abstraction for programs with multiply-linked structures
. In International Journal of Foundations of Computer Science, volume 24, number 2, pp 187-210, 2013.
-
Priced timed Petri nets
. In Logical Methods in Computer Science, volume 9, number 4, pp 10:1-51, 2013.
-
Push-down automata with gap-order constraints
. In Fundamentals of Software Engineering: FSEN 2013, volume 8161 of Lecture Notes in Computer Science, pp 199-216, Springer Berlin/Heidelberg, 2013.
-
Solving parity games on integer vectors
. In CONCUR 2013 – Concurrency Theory, volume 8052 of Lecture Notes in Computer Science, pp 106-120, Springer Berlin/Heidelberg, 2013.
-
Tools for software verification: Introduction to the special section from the seventeenth international conference on tools and algorithms for the construction and analysis of systems
. In International Journal on Software Tools for Technology Transfer, volume 15, number 2, pp 85-88, 2013.
-
Veri?cation of heap manipulating programs with ordered data by extended forest automata
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 224-239, Springer Berlin/Heidelberg, 2013.
-
Verifying safety and liveness for the FlexTM hybrid transactional memory
. In , pp 785-790, Grenoble, France, 2013.
-
A theory for control-flow graph exploration
. In Automated Technology for Verification and Analysis: ATVA 2013, volume 8172 of Lecture Notes in Computer Science, pp 506-515, Springer Berlin/Heidelberg, 2013.
-
On using Erlang for parallelization: Experience from parallelizing Dialyzer
. In Trends in Functional Programming, volume 7829 of Lecture Notes in Computer Science, pp 295-310, Springer Berlin/Heidelberg, 2013.
-
Adjacent ordered multi-pushdown systems
. In Developments in Language Theory: DLT 2013, volume 7907 of Lecture Notes in Computer Science, pp 58-69, Springer Berlin/Heidelberg, 2013.
-
Automated Mediator Synthesis: Combining Behavioural and Ontological Reasoning
. In SEFM 2013, 11th Int. Conf. on Software Engineering and Formal Methods, volume 8137 of Lecture Notes in Computer Science, pp 274-288, Springer, 2013.
-
A Parametric Tool for Applied Process Calculi
. In 13th International Conference on Application of Concurrency to System Design (ACSD 2013), International Conference on Application of Concurrency to System Design, pp 180-185, IEEE Computer Society, 2013.
-
RELEASE: A high-level paradigm for reliable large-scale server software
. In Trends in Functional Programming, volume 7829 of Lecture Notes in Computer Science, pp 263-278, Springer Berlin/Heidelberg, 2013.
-
Shared Resource Sensitivity in Task-Based Runtime Systems
. In Proc. 6th Swedish Workshop on Multi-Core Computing, Halmstad University Press, 2013.
-
An Algebraic Theory of Interface Automata
. University of Oxford, 2013.
-
Systematic testing for detecting concurrency errors in Erlang programs
. In Proc. 6th International Conference on Software Testing, Verification and Validation, pp 154-163, IEEE Computer Society, 2013.
-
Bayesian Inference Using Data Flow Analysis
. In ESEC/FSE '13: Proceedings of the 9th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT Symposium on the Foundations of Software Engineering, pp 92-102, ACM Press, New York, NY, USA, 2013.
-
Ownership Types: A Survey
. In Aliasing in Object-Oriented Programming: Types, Analysis, and Verification, volume 7850 of Lecture Notes in Computer Science, pp 15-58, Springer Berlin/Heidelberg, 2013.
-
Bandwidth Bandit: Quantitative Characterization of Memory Contention
. In Proc. 11th International Symposium on Code Generation and Optimization: CGO 2013, pp 99-108, IEEE Computer Society, 2013.
-
A Model-Learner Pattern for Bayesian Reasoning
. In Proceedings of the 40th annual ACM SIGPLAN-SIGACT symposium on Principles of programming languages, volume 48 of ACM SIGPLAN NOTICES, pp 403-416, Association for Computing Machinery (ACM), New York, NY, 2013.
-
Adaptive fast multipole methods on the GPU
. In Journal of Supercomputing, volume 63, pp 897-918, 2013.
-
Improving OCBP-based scheduling for mixed-criticality sporadic task systems
. In Proc. 19th International Conference on Embedded and Real-Time Computing Systems and Applications, IEEE Computer Society, 2013.
-
FIFO cache analysis for WCET estimation: A quantitative approach
. In Proc. 16th Conference on Design, Automation and Test in Europe, pp 296-301, IEEE, Piscataway, NJ, 2013.
-
Finitary Real-Time Calculus: Efficient Performance Analysis of Distributed Embedded Systems
. In Proc. Real-Time Systems Symposium: RTSS 2013, IEEE Computer Society, 2013.
-
New Techniques for Building Timing-Predictable Embedded Systems
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1094, Acta Universitatis Upsaliensis, Uppsala, 2013.
-
Parallel implementation of the Sherman–Morrison matrix inverse algorithm
. In Applied Parallel and Scientific Computing, volume 7782 of Lecture Notes in Computer Science, pp 206-219, Springer-Verlag, Berlin, 2013.
-
Scientific computing on hybrid architectures
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2013-002, Uppsala University, 2013.
-
Dynamic and speculative polyhedral parallelization of loop nests using binary code patterns
. In ICCS 2013, volume 18 of Procedia Computer Science, pp 2575-2578, 2013.
-
Online dynamic dependence analysis for speculative polyhedral parallelization
. In Euro-Par 2013 Parallel Processing, volume 8097 of Lecture Notes in Computer Science, pp 191-202, Springer Berlin/Heidelberg, 2013.
-
A New Perspective for Efficient Virtual-Cache Coherence
. In Proceedings of the 40th Annual International Symposium on Computer Architecture, pp 535-546, 2013.
-
On the scalability of the Erlang term storage
. In Proc. 12th ACM SIGPLAN Workshop on Erlang, pp 15-26, ACM Press, New York, 2013.
-
Towards more efficient execution: a decoupled access-execute approach
. In Proc. 27th ACM International Conference on Supercomputing, pp 253-262, ACM Press, New York, 2013.
-
Component-based system design: analytic real-time interfaces for state-based component implementations
. In International Journal on Software Tools for Technology Transfer, volume 15, number 3, pp 155-170, Springer Berlin/Heidelberg, 2013.
-
A Skiplist-based Concurrent Priority Queue with Minimal Memory Contention
. In OPODIS 2013: 17th International Conference On Principles Of DIstributed Systems, volume 8304 of Lecture Notes in Computer Science, pp 206-220, Springer Berlin/Heidelberg, Berlin, 2013.
-
A priori power estimation of linear solvers on multi-core processors
. Technical report / Department of Information Technology, Uppsala University nr 2013-020, 2013.
-
Stealing the shared cache for fun and profit
. Student thesis, supervisor: Erik Berg, examiner: David Black-Schaffer, Ivan Christoff, IT nr 13 048, 2013.
-
Multi-Mode Monitoring for Mixed-Criticality Real-time Systems
. In Proc. 11th International Conference on Hardware/Software Codesign and System Synthesis, ACM Press, New York, 2013.
-
Classifying and Solving Horn Clauses for Verification
. In Fifth Working Conference on Verified Software: Theories, Tools and Experiments (VSTTE), 2013.
-
Disjunctive Interpolants for Horn-Clause Verification
. In Computer Aided Verification: CAV 2013, volume 8044 of Lecture Notes in Computer Science, pp 347-363, Springer Berlin/Heidelberg, 2013.
-
Exploring Interpolants
. In Formal Methods in Computer-Aided Design (FMCAD), 2013.
-
Precise explanation of success typing errors
. In Proc. ACM SIGPLAN 2013 Workshop on Partial Evaluation and Program Manipulation, pp 33-42, ACM Press, New York, 2013.
-
Modeling performance variation due to cache sharing
. In Proc. 19th IEEE International Symposium on High Performance Computer Architecture, pp 155-166, IEEE Computer Society, 2013.
-
Can users control their data in social software?: An ethical analysis of control systems
. In Proc. Security and Privacy Workshops 2013, IEEE Computer Society, 2013.
-
TLC: A tag-less cache for reducing dynamic first level cache energy
. In Proceedings of the 46th International Symposium on Microarchitecture, pp 49-61, ACM Press, New York, 2013.
-
Introducing DVFS-Management in a Full-System Simulator
. In Proc. 21st International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, IEEE Computer Society, 2013.
-
The fork-join real-time task model
. In ACM SIGBED Review, volume 10, number 2, pp 20-20, 2013.
-
Accurate surface embedding for higher order finite elements
. In Proc. 12th ACM SIGGRAPH/Eurographics Symposium on Computer Animation, pp 187-192, ACM Press, New York, 2013.
-
A task parallel implementation of a scattered node stencil-based solver for the shallow water equations
. In Proc. 6th Swedish Workshop on Multi-Core Computing, pp 33-36, Halmstad University, Halmstad, Sweden, 2013.
-
Resource-aware task scheduling
. In 4th Workshop on Parallel Programming and Run-Time Management Techniques for Many-core Architectures (PARMA), p 6, Tech. Univ. Berlin, Germany, 2013.
-
Accelerating COBAYA3 on multi-core CPU and GPU systems using PARALUTION
. In Proc. 2nd Joint International Conference on Supercomputing in Nuclear Applications and Monte Carlo, La Société Française d'Energie Nucléaire, Paris, France, 2013.
-
Structured Aliasing
. In Aliasing in Object-Oriented Programming: Types, Analysis, and Verification, volume 7850 of Lecture Notes in Computer Science, pp 512-513, Springer Berlin/Heidelberg, 2013.
-
Bells and Whistles: Advanced language features in psi-calculi
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2013-004, Uppsala University, 2013.
UPMARC Publications 2012
-
Regular model checking for LTL(MSO)
. In International Journal on Software Tools for Technology Transfer, volume 14, number 2, pp 223-241, Springer, 2012.
-
Adding time to pushdown automata
. In Quantities in Formal Methods: QFM 2012, volume 103 of Electronic Proceedings in Theoretical Computer Science, pp 1-16, 2012.
-
Automatic fence insertion in integer programs via predicate abstraction
. In Static Analysis, volume 7460 of Lecture Notes in Computer Science, pp 164-180, Springer-Verlag, Berlin, 2012.
-
Counter-Example Guided Fence Insertion under TSO
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 7214 of Lecture Notes in Computer Science, pp 204-219, Springer-Verlag, Berlin, 2012.
-
Dense-Timed Pushdown Automata
. In Proc. 27th ACM/IEEE Symposium on Logic in Computer Science, pp 35-44, IEEE Computer Society, 2012.
-
Multi-Pushdown Systems with Budgets
. In Formal Methods in Computer-Aided Design, pp 24-33, 2012.
-
Petri Nets with Time and Cost
. INFINITY 2012, 14th International Workshop on Verification of Infinite-State Systems, 2012.
-
Regular model checking
. In International Journal on Software Tools for Technology Transfer, volume 14, number 2, pp 109-118, 2012.
-
The minimal cost reachability problem in priced timed pushdown systems
. In Language and Automata Theory and Applications: 6th International Conference, LATA 2012, A Coruña, Spain, March 5-9, 2012, volume 7183 of Lecture Notes in Computer Science, pp 58-69, Springer-Verlag, Berlin, 2012.
-
Timed lossy channel systems
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2012, volume 18 of Leibniz International Proceedings in Informatics, pp 374-386, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2012.
-
A scalability benchmark suite for Erlang/OTP
. In Proc. 11th ACM SIGPLAN Workshop on Erlang, pp 33-42, ACM Press, New York, 2012.
-
Detecting fair non-termination in multithreaded programs
. In Computer Aided Verification, volume 7358 of Lecture Notes in Computer Science, pp 210-227, Springer-Verlag, Berlin, 2012.
-
Linear-Time Model-Checking for Multithreaded Programs under Scope-Bounding
. In Automated Technology for Verification and Analysis: ATVA 2012, volume 7561 of Lecture Notes in Computer Science, pp 152-166, Springer Berlin/Heidelberg, 2012.
-
What's decidable about weak memory models?
. In Programming Languages and Systems, volume 7211 of Lecture Notes in Computer Science, pp 26-46, Springer Berlin/Heidelberg, 2012.
-
A succinct canonical register automaton model for data domains with binary relations
. In Automated Technology for Verification and Analysis: 10th International Symposium, ATVA 2012, Thiruvananthapuram, India, October 3-6, 2012. Proceedings, volume 7561 of Lecture Notes in Computer Science, pp 57-71, Springer, 2012.
-
Assume-Guarantee Reasoning for Safe Component Behaviours
. In Proc. FACS: Formal Aspects of Component Software, 9th Int. Symp., volume 7684 of Lecture Notes in Computer Science, pp 92-109, Springer, 2012.
-
URDME: a modular framework for stochastic simulation of reaction-transport processes in complex geometries
. In BMC Systems Biology, volume 6, pp 76:1-17, 2012.
-
Bounding and shaping the demand of mixed-criticality sporadic tasks
. In Proc. 24th Euromicro Conference on Real-Time Systems, pp 135-144, IEEE Computer Society, 2012.
-
Profiling Methods for Memory Centric Software Performance Analysis
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 1000, Acta Universitatis Upsaliensis, Uppsala, 2012.
-
On the stability of stochastic jump kinetics
. Technical report / Department of Information Technology, Uppsala University nr 2012-005, 2012.
-
Adaptive fast multipole methods on the GPU
. Technical report / Department of Information Technology, Uppsala University nr 2012-012, 2012.
-
Fixed-Priority Multiprocessor Scheduling: Critical Instant, Response Time and Utilization Bound
. In 2012 IEEE 26TH INTERNATIONAL PARALLEL AND DISTRIBUTED PROCESSING SYMPOSIUM WORKSHOPS & PHD FORUM (IPDPSW), IEEE International Symposium on Parallel and Distributed Processing Workshops and PhD Forum-IPDPSW, pp 2470-2473, 2012.
-
Parametric Utilization Bounds for Fixed-Priority Multiprocessor Scheduling
. In 2012 IEEE 26th International Parallel and Distributed Processing Symposium (IPDPS), International Parallel and Distributed Processing Symposium IPDPS, pp 261-272, 2012.
-
WCET analysis with MRU caches: Challenging LRU for predictability
. In Proc. 18th Real-Time and Embedded Technology and Applications Symposium, pp 55-64, IEEE Computer Society, 2012.
-
Communication-efficient algorithms for numerical quantum dynamics
. In Applied Parallel and Scientific Computing: Part II, volume 7134 of Lecture Notes in Computer Science, pp 368-378, Springer-Verlag, Berlin, 2012.
-
Towards an adaptive solver for high-dimensional PDE problems on clusters of multicore processors
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-003, Uppsala University, 2012.
-
Efficiently parallel implementation of the inverse Sherman–Morrison algorithm
. Technical report / Department of Information Technology, Uppsala University nr 2012-017, 2012.
-
Accelerating interpolants
. In Automated Technology for Verification and Analysis: 10th International Symposium, ATVA 2012, Thiruvananthapuram, India, October 3-6, 2012. Proceedings, volume 7561 of Lecture Notes in Computer Science, pp 187-202, 2012.
-
A verification toolkit for numerical transition systems
. In FM 2012: Formal Methods, volume 7436 of Lecture Notes in Computer Science, pp 247-251, Springer Berlin/Heidelberg, 2012.
-
Efficiently implementing Monte Carlo electrostatics simulations on multicore accelerators
. In Applied Parallel and Scientific Computing: Part II, volume 7134 of Lecture Notes in Computer Science, pp 379-388, Springer-Verlag, Berlin, 2012.
-
Inferring semantic interfaces of data structures
. In Leveraging Applications of Formal Methods, Verification and Validation. Technologies for Mastering Change: 5th International Symposium, ISoLA 2012, Heraklion, Crete, Greece, October 15-18, 2012, Proceedings, Part I, volume 7609 of Lecture Notes in Computer Science, pp 554-571, 2012.
-
Inferring Canonical Register Automata
. In Verification, Model Checking, and Abstract Interpretation - 13th International Conference,, volume 7148 of Lecture Notes in Computer Science, pp 251-266, Springer, 2012.
-
Computing Strong and Weak Bisimulations for Psi-Calculi
. In Journal of Logic and Algebraic Programming, volume 81, number 3, pp 162-180, Elsevier, 2012.
-
Using refinement calculus techniques to prove linearizability
. In Formal Aspects of Computing, volume 24, number 4-6, pp 537-554, 2012.
-
Low Overhead Instruction-Cache Modeling Using Instruction Reuse Profiles
. In International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD'12), Computer Architecture and High Performance Computing, pp 260-269, IEEE Computer Society, 2012.
-
Demonstrating Learning of Register Automata
. In Tools and Algorithms for the Construction and Analysis of Systems - 18th International Conference,, volume 7214 of Lecture Notes in Computer Science, pp 466-471, Springer Berlin/Heidelberg, Berlin, 2012.
-
Predicting the Cost of Lock Contention in Parallel Applications on Multicores using Analytic Modeling
. In Proc. 5th Swedish Workshop on Multi-Core Computing, 2012.
-
Extending psi-calculi and their formal proofs
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-008, Uppsala University, 2012.
-
Complexity-effective multicore coherence
. In Proc. 21st International Conference on Parallel Architectures and Compilation Techniques, pp 241-251, ACM Press, New York, 2012.
-
E-matching with free variables
. In Logic for Programming, Artificial Intelligence, and Reasoning, volume 7180 of Lecture Notes in Computer Science, pp 359-374, Springer Berlin/Heidelberg, 2012.
-
Efficient techniques for predicting cache sharing and throughput
. In Proc. 21st International Conference on Parallel Architectures and Compilation Techniques, pp 305-314, ACM Press, New York, 2012.
-
Efficient techniques for detecting and exploiting runtime phases
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-009, Uppsala University, 2012.
-
Phase Behavior in Serial and Parallel Applications
. In International Symposium on Workload Characterization (IISWC'12), IEEE Computer Society, 2012.
-
Phase Guided Profiling for Fast Cache Modeling
. In International Symposium on Code Generation and Optimization (CGO'12), pp 175-185, ACM Press, 2012.
-
Logics and Algorithms for Verification of Concurrent Systems
. Ph.D. thesis, Digital Comprehensive Summaries of Uppsala Dissertations from the Faculty of Science and Technology nr 964, Acta Universitatis Upsaliensis, Uppsala, 2012.
-
Power-Sleuth: A Tool for Investigating your Program's Power Behavior
. In International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems (MASCOTS'12), pp 241-250, 2012.
-
Leveraging multicore processors for scientific computing
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2012-006, Uppsala University, 2012.
-
Structured Aliasing
. In ECOOP 2012 – Object-Oriented Programming, volume 7313 of Lecture Notes in Computer Science, pp 232-232, Springer Berlin/Heidelberg, 2012.
-
Programming models based on data versioning for dependency-aware task-based parallelisation
. In Proc. 15th International Conference on Computational Science and Engineering, pp 275-280, IEEE Computer Society, Los Alamitos, CA, 2012.
-
Multiple Aggregate Entry Points for Ownership Types
. In ECOOP 2012 – Object-Oriented Programming, volume 7313 of Lecture Notes in Computer Science, pp 156-180, Springer Berlin/Heidelberg, 2012.
-
The Joelle Programming Language: Evolving Java Programs Along Two Axes of Parallel Eval
. 2012 International Workshop on Languages for the Multi-core Era, 2012.
UPMARC Publications 2011
-
Advanced Ramsey-based Büchi automata inclusion testing
. In CONCUR 2011 — Concurrency Theory, volume 6901 of Lecture Notes in Computer Science, pp 187-202, Springer Berlin/Heidelberg, 2011.
-
Computing Optimal Coverability Costs in Priced Timed Petri Nets
. In LICS'2011, Proceedings of the 26th Annual IEEE Symposium on Logic in Computer Science, IEEE Symposium on Logic in Computer Science, pp 399-408, 2011.
-
Approximating Petri net reachability along context-free traces
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2011, volume 13 of Leibniz International Proceedings in Informatics, pp 152-163, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2011.
-
Context-bounded analysis for concurrent programs with dynamic creation of threads
. In Logical Methods in Computer Science, volume 7, number 4, pp 4:1-48, 2011.
-
Getting rid of store-buffers in TSO analysis
. In Computer Aided Verification: CAV 2011, volume 6806 of Lecture Notes in Computer Science, pp 99-115, Springer-Verlag, Berlin, 2011.
-
On Yen's path logic for Petri nets
. In International Journal of Foundations of Computer Science, volume 22, number 4, pp 783-799, 2011.
-
Developing UPPAAL over 15 years
. In Software, practice & experience, volume 41, number 2, pp 133-142, 2011.
-
Psi-calculi: a framework for mobile processes with nominal data and logic
. In Logical Methods in Computer Science, volume 7, number 1, p 11, 2011.
-
Refinement types for secure implementations
. In ACM Transactions on Programming Languages and Systems, volume 33, number 2, pp 8:1-45, 2011.
-
Broadcast Psi-calculi with an Application to Wireless Protocols
. In Software Engineering and Formal Methods: SEFM 2011, volume 7041 of Lecture Notes in Computer Science, pp 74-89, Springer Berlin/Heidelberg, 2011.
-
Verified Stateful Programs with Substructural State and Hoare Types
. In Proc. 5th ACM Workshop on Programming Languages Meets Program Verification, pp 15-26, ACM Press, New York, 2011.
-
A succinct canonical register automaton model
. In Automated Technology for Verification and Analysis: ATVA 2011, volume 6996 of Lecture Notes in Computer Science, pp 366-380, Springer-Verlag, Berlin, 2011.
-
Leakage-efficient design of value predictors through state and non-state preserving techniques
. In Journal of Supercomputing, volume 55, number 1, pp 28-50, 2011.
-
Power Token Balancing: Adapting CMPs to power constraints for parallel multithreaded workloads
. In Proc. 25th International Parallel and Distributed Processing Symposium, pp 431-442, IEEE, Piscataway, NJ, 2011.
-
Detection of asynchronous message passing errors using static analysis
. In Practical Aspects of Declarative Languages, volume 6539 of Lecture Notes in Computer Science, pp 5-18, Springer Berlin/Heidelberg, 2011.
-
Computing Systems: Research Challenges Ahead: The HiPEAC Vision 2011/2012
. 2011.
-
Cache Pirating: Measuring the curse of the shared cache
. Technical report / Department of Information Technology, Uppsala University nr 2011-001, 2011.
-
Cache Pirating: Measuring the Curse of the Shared Cache
. In Proc. 40th International Conference on Parallel Processing, pp 165-175, IEEE Computer Society, 2011.
-
Efficient methods for application performance analysis
. Licentiate thesis, IT licentiate theses / Uppsala University, Department of Information Technology nr 2011-001, Uppsala University, 2011.
-
Fast modeling of shared caches in multicore systems
. In Proc. 6th International Conference on High Performance and Embedded Architectures and Compilers, pp 147-157, ACM Press, New York, 2011.
-
On well-separated sets and fast multipole methods
. In Applied Numerical Mathematics, volume 61, pp 1096-1102, 2011.
-
Effective and efficient scheduling of certifiable mixed-criticality sporadic task systems
. In Proc. Real-Time Systems Symposium, pp 13-23, IEEE, Piscataway, NJ, 2011.
-
Resource sharing protocols for real-time task graph systems
. In Proc. 23rd Euromicro Conference on Real-Time Systems, pp 272-281, IEEE, Piscataway, NJ, 2011.
-
Schedulability analysis for non-preemptive fixed-priority multiprocessor scheduling
. In Journal of systems architecture, volume 57, number 5, pp 536-546, 2011.
-
A simple model for tuning tasks
. In Proc. 4th Swedish Workshop on Multi-Core Computing, pp 45-49, Linköping University, Linköping, Sweden, 2011.
-
Memory access aware mapping for networks-on-chip
. In Proc. 17th International Conference on Embedded and Real-Time Computing Systems and Applications, pp 339-348, IEEE, Piscataway, NJ, 2011.
-
Energy-efficient scheduling for parallel real-time tasks based on level-packing
. In Proc. 26th ACM Symposium on Applied Computing, pp 635-640, ACM Press, New York, 2011.
-
Energy-efficient scheduling of real-time tasks on cluster-based multicores
. In Proc. 14th Conference on Design, Automation and Test in Europe, IEEE, Piscataway, NJ, 2011.
-
Using hardware transactional memory for high-performance computing
. In Proc. 25th International Symposium on Parallel and Distributed Processing Workshops and PhD Forum, pp 1660-1667, IEEE, Piscataway, NJ, 2011.
-
McAiT — a timing analyzer for multicore real-time software
. In Automated Technology for Verification and Analysis, volume 6996 of Lecture Notes in Computer Science, pp 414-417, Springer-Verlag, Berlin, 2011.
-
A simple statistical cache sharing model for multicores
. In Proc. 4th Swedish Workshop on Multi-Core Computing, pp 31-36, Linköping University, Linköping, Sweden, 2011.
-
Efficient software-based online phase classification
. In International Symposium on Workload Characterization (IISWC'11), pp 104-115, IEEE Computer Society, 2011.
-
Green governors: A framework for continuously adaptive DVFS
. In Proc. International Green Computing Conference and Workshops: IGCC 2011, pp 1-8, IEEE, Piscataway, NJ, 2011.
-
Power-performance adaptation in Intel core i7
. In Proc. 2nd Workshop on Computer Architecture and Operating System co-design, p 10, Computer Science and Artificial Intelligence Laboratory, MIT, Cambridge, MA, 2011.
-
On the tractability of digraph-based task models
. In Proc. 23rd Euromicro Conference on Real-Time Systems, pp 162-171, IEEE, Piscataway, NJ, 2011.
-
The digraph real-time task model
. In 17th Real-Time and Embedded Technology and Applications Symposium, IEEE Real-Time and Embedded Technology and Application Symposium, pp 71-80, IEEE Computer Society, Piscataway, NJ, 2011.
-
Is the World Ready for Ownership Types? Is Ownership Types Ready for the World?
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP (Vetenskapsrådet), 2011.
-
Owners as Ombudsmen: Multiple Aggregate Entry Points for Ownership Types
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP (Vetenskapsrådet), 2011.
-
Implementation and empirical comparison of partitioning-based multi-core scheduling
. In Proc. 6th International Symposium on Industrial Embedded Systems, pp 248-255, IEEE, Piscataway, NJ, 2011.
-
Towards the implementation and evaluation of semi-partitioned multi-core scheduling
. In Bringing Theory to Practice: Predictability and Performance in Embedded Systems, volume 18 of OpenAccess Series in Informatics, pp 42-46, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2011.
-
Regions as Owners: A Discussion on Ownership-based Effects in Practice
. International Workshop on Aliasing, Confinement and Ownership in object-oriented programming: IWACO at ECOOP, 2011.
UPMARC Publications 2010
-
Constrained monotonic abstraction: A CEGAR for parameterized verification
. In CONCUR 2010 – Concurrency Theory, volume 6269 of Lecture Notes in Computer Science, pp 86-101, Springer-Verlag, Berlin, 2010.
-
Sampled semantics of timed automata
. In Logical Methods in Computer Science, volume 6, number 3, pp 14:1-37, 2010.
-
When simulation meets antichains: On checking language inclusion of nondeterministic finite (tree) automata
. In Tools and Algorithms for the Construction and Analysis of Systems, volume 6015 of Lecture Notes in Computer Science, pp 158-174, Springer-Verlag, Berlin, 2010.
-
From multi to single stack automata
. In CONCUR 2010 – Concurrency Theory, volume 6269 of Lecture Notes in Computer Science, pp 117-131, Springer-Verlag, Berlin, 2010.
-
Global model checking of ordered multi-pushdown systems
. In IARCS Annual Conference on Foundations of Software Technology and Theoretical Computer Science: FSTTCS 2010, volume 8 of Leibniz International Proceedings in Informatics, pp 216-227, Leibniz-Zentrum für Informatik, Dagstuhl, Germany, 2010.
-
On the verification problem for weak memory models
. In Proc. 37th ACM Symposium on Principles of Programming Languages, pp 7-18, ACM Press, New York, 2010.
-
Formalising process calculi
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 93, Acta Universitatis Upsaliensis, Uppsala, 2010.
-
Block-Parallel Programming for Real-time Embedded Applications
. In Proc. 39th International Conference on Parallel Processing, pp 297-306, IEEE, Piscataway, NJ, 2010.
-
Tribal ownership
. In Proc. 1st International Conference on Systems, Programming, Languages, and Applications: Software for Humanity, volume 45:10 of ACM SIGPLAN Notices, pp 618-633, ACM Press, New York, 2010.
-
Static detection of race conditions in Erlang
. In Practical Aspects of Declarative Languages: PADL 2010, volume 5937 of Lecture Notes in Computer Science, pp 119-133, Springer-Verlag, Berlin, 2010.
-
StatCC: a statistical cache contention model
. In Proc. 19th International Conference on Parallel Architectures and Compilation Techniques, pp 551-552, ACM Press, New York, 2010.
-
StatStack: Efficient modeling of LRU caches
. In Proc. International Symposium on Performance Analysis of Systems and Software: ISPASS 2010, pp 55-65, IEEE, Piscataway, NJ, 2010.
-
Fixed-Priority Multiprocessor Scheduling with Liu & Layland's Utilization Bound
. In Proc. 16th Real-Time and Embedded Technology and Applications Symposium, pp 165-174, IEEE, Piscataway, NJ, 2010.
-
An implementation framework for solving high-dimensional PDEs on massively parallel computers
. In Numerical Mathematics and Advanced Applications: 2009, pp 417-424, Springer-Verlag, Berlin, 2010.
-
Efficient cache modeling with sparse data
. In Processor and System-on-Chip Simulation, pp 193-209, Springer, New York, 2010.
-
A Fully Abstract Symbolic Semantics for Psi-Calculi
. In Proc. 6th Workshop on Structural Operational Semantics: SOS 2009, volume 18 of Electronic Proceedings in Theoretical Computer Science, pp 17-31, 2010.
-
Psi-calculi: a framework for mobile process calculi: Cook your own correct process calculus - just add data and logic
. Ph.D. thesis, Uppsala Dissertations from the Faculty of Science and Technology nr 94, Acta Universitatis Upsaliensis, Uppsala, 2010.
-
Weak Equivalences in Psi-calculi
. In Proc. 25th Symposium on Logic in Computer Science: LICS 2010, pp 322-331, IEEE, Piscataway, NJ, 2010.
-
SARC coherence: Scaling directory cache coherence in performance and power
. In IEEE Micro, volume 30, number 5, pp 54-65, 2010.
-
Interval-based models for run-time DVFS orchestration in superscalar processors
. In Proc. 7th International Conference on Computing Frontiers, pp 287-296, ACM Press, New York, 2010.
-
Where replacement algorithms fail: a thorough analysis
. In Proc. 7th International Conference on Computing Frontiers, pp 141-150, ACM Press, New York, 2010.
-
Minimizing Multi-Resource Energy for Real-Time Systems with Discrete Operation Modes
. In Proc. 22nd Euromicro Conference on Real-Time Systems, pp 113-122, IEEE, Piscataway, NJ, 2010.
-
Thread-Modular Model Checking of Concurrent Programs under TSO using Code Rewriting
. Student thesis, supervisor: Bengt Jonsson, examiner: Parosh Abdulla, Anders Jansson, IT nr 10 068, 2010.
-
Early results using hardware transactional memory for high-performance computing applications
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 93-97, Chalmers University of Technology, Göteborg, Sweden, 2010.
-
Combining abstract interpretation with model checking for timing analysis of multicore software
. In Proc. Real-Time Systems Symposium: RTSS 2010, pp 339-349, IEEE, Piscataway, NJ, 2010.
-
Static worst-case execution time analysis of the ?C/OS-II real-time kernel
. In Frontiers of Computer Science in China, volume 4, number 1, pp 17-27, 2010.
-
MLP-aware instruction queue resizing: The key to power-efficient performance
. In Architecture of Computing Systems – ARCS 2010, volume 5974 of Lecture Notes in Computer Science, pp 113-125, Springer-Verlag, Berlin, 2010.
-
Using static analysis to detect type errors and concurrency defects in Erlang programs
. In Functional and Logic Programming: FLOPS 2010, volume 6009 of Lecture Notes in Computer Science, pp 13-18, Springer-Verlag, Berlin, 2010.
-
A Software Technique for Reducing Cache Pollution
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 59-62, Chalmers University of Technology, Göteborg, Sweden, 2010.
-
Reducing Cache Pollution Through Detection and Elimination of Non-Temporal Memory Accesses
. In Proc. International Conference for High Performance Computing, Networking, Storage and Analysis: SC 2010, p 11, IEEE, Piscataway, NJ, 2010.
-
Parallelizing multicore cache simulations on GPUs
. In Proc. 3rd Swedish Workshop on Multi-Core Computing, pp 3-8, Chalmers University of Technology, Göteborg, Sweden, 2010.
-
An efficient task-based approach for solving the <em>n</em>-body problem on multicore architectures
. PARA 2010: State of the Art in Scientific and Parallel Computing, University of Iceland, Reykjavík, 2010.
-
Verifying parallel programs with dynamic communication structures
. In Theoretical Computer Science, volume 411, pp 3460-3468, 2010.
-
Analysis and visualization of information quality of technical documentation
. In Proc. 4th European Conference on Information Management and Evaluation, pp 388-396, Academic Conferences, Reading, UK, 2010.
-
Information quality testing
. In Perspectives in Business Informatics Research, volume 64 of Lecture Notes in Business Information Processing, pp 14-26, Springer-Verlag, Berlin, 2010.
-
Multicore embedded systems: The timing problem and possible solutions
. In Formal Methods and Software Engineering, volume 6447 of Lecture Notes in Computer Science, pp 22-23, Springer-Verlag, Berlin, 2010.
-
Welterweight Java
. In Objects, Models, Components, Patterns, volume 6141 of Lecture Notes in Computer Science, pp 97-116, Springer-Verlag, Berlin, 2010.
UPMARC Publications 2009
-
Monotonic Abstraction: on Efficient Verification of Parameterized Systems
. In International Journal of Foundations of Computer Science, volume 20, number 5, pp 779-801, 2009.
-
A uniform (bi-)simulation-based framework for reducing tree automata
. In Electronic Notes in Theoretical Computer Science, volume 251, pp 27-48, 2009.
-
Composed bisimulation for tree automata
. In International Journal of Foundations of Computer Science, volume 20, number 4, pp 685-700, 2009.
-
Psi-calculi: Mobile processes, nominal data, and logic
. In Proc. 24th Annual IEEE Symposium on Logic in Computer Science, pp 39-48, IEEE, Piscataway, NJ, 2009.
-
Psi-calculi in Isabelle
. In Theorem Proving in Higher Order Logics, volume 5674 of Lecture Notes in Computer Science, pp 99-114, Springer-Verlag, Berlin, 2009.
-
Cache-aware scheduling and analysis for multicores
. In Proc. 9th ACM International Conference on Embedded Software, pp 245-254, ACM Press, New York, 2009.
-
New Response Time Bounds for Fixed Priority Multiprocessor Scheduling
. In Proc. Real-Time Systems Symposium: RTSS 2009, pp 387-397, IEEE, Piscataway, NJ, 2009.
-
Efficient implementation of a high-dimensional PDE-solver on multicore processors
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 64-66, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009.
-
Using SPIN to model check concurrent algorithms, using a translation from C to Promela
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 67-69, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009.
-
Parallel Structured Adaptive Mesh Refinement
. In Parallel Computing: Numerics, Applications, and Trends, pp 147-173, Springer-Verlag, London, 2009.
-
Efficient detection of communication in multi-cores
. In Proc. 2nd Swedish Workshop on Multi-Core Computing, pp 119-121, Department of Information Technology, Uppsala University, Uppsala, Sweden, 2009.
-
Reconsidering algorithms for iterative solvers in the multicore era
. In International Journal of Computational Science and Engineering, volume 4, pp 270-282, 2009.
-
A meta-model describing the development process of mobile learning
. In Advances in Web Based Learning – ICWL 2009, volume 5686 of Lecture Notes in Computer Science, pp 454-463, Springer-Verlag, Berlin, 2009.
-
Current practice in mobile learning: A survey of research method and purpose
. In Proc. 8th World Conference on Mobile and Contextual Learning, pp 103-111, University of Central Florida, Orlando, FL, 2009.
-
Dealing with stakeholders in mobile learning: A study of three initiatives
. In Proc. 32nd Information Systems Research Seminar in Scandinavia, pp A72:1-14, Molde University College, Norway, 2009.
-
Sharing experience from three initiatives in mobile learning: Lessons learned
. In Proc. 17th International Conference on Computers in Education, pp 613-617, Asia-Pacific Society for Computers in Education, Jhongli City, Taiwan, 2009.
-
Thinking ahead in mobile learning projects: A survey on risk assessment
. In Proc. 8th International Conference on Perspectives in Business Informatics Research, pp 57-66, Kristianstad Academic Press, Sweden, 2009.
-
Modeling and analysis of thread-pools in an industrial communication platform
. In Formal Methods and Software Engineering, volume 5885 of Lecture Notes in Computer Science, pp 367-386, Springer-Verlag, Berlin, 2009.
UPMARC Publications 2008
-
Efficient optimization algorithms and implementations for genetic analysis of complex traits on a grid system with multicore nodes
. PARA 2008: State of the Art in Scientific and Parallel Computing, Norwegian University of Science and Technology, Trondheim, Norway, 2008.
-
State-Space Exploration for Concurrent Algorithms under Weak Memory Orderings
. In Proc. 1st Swedish Workshop on Multi-Core Computing, volume 2008:07 of Research report / Blekinge Institute of Technology, pp 82-88, 2008.
-
State-space exploration for concurrent algorithms under weak memory orderings
. In SIGARCH Computer Architecture News, volume 36, number 5, pp 65-71, 2008.
-
Geographical locality and dynamic data migration for OpenMP implementations of adaptive PDE solvers
. In OpenMP Shared Memory Parallel Programming, volume 4315 of Lecture Notes in Computer Science, pp 382-393, Springer-Verlag, Berlin, 2008.
Search for publications in DIVA